Small%20Feature%20Reproducibility%20A%20Focus%20on%20Photolithography - PowerPoint PPT Presentation

About This Presentation
Title:

Small%20Feature%20Reproducibility%20A%20Focus%20on%20Photolithography

Description:

Small Feature Reproducibility A Focus on Photolithography UC-SMART Major Program Award Spanos, Bokor, Neureuther Second Annual Workshop 11/8/99 – PowerPoint PPT presentation

Number of Views:202
Avg rating:3.0/5.0
Slides: 67
Provided by: Costa157
Learn more at: http://cden.ucsd.edu
Category:

less

Transcript and Presenter's Notes

Title: Small%20Feature%20Reproducibility%20A%20Focus%20on%20Photolithography


1
Small Feature ReproducibilityA Focus on
Photolithography
  • UC-SMART Major Program Award
  • Spanos, Bokor, Neureuther
  • Second Annual Workshop
  • 11/8/99

2
Agenda
  • 830 900 Introductions, Overview /
    Spanos
  • 900 1015 Lithography / Spanos,
    Neureuther, Bokor
  • 1015 1045 Break
  • 1045 1200 Sensor Integration / Poolla,
    Smith, Solgaard, Dunn
  • 1200 100 lunch, poster session begins
  • 100 215 Plasma, TED / Graves,
    Lieberman, Cheung, Aydil, Haller
  • 215 245 CMP / Dornfeld
  • 245 330 Education / Graves, King,
    Spanos
  • 330 345 Break
  • 345 530 Steering Committee Meeting in
    room 775A / Lozes
  • 530 730 Reception, Dinner / Heynes rm,
    Mens Faculty Club

3
Litho Milestones, Year 1
  • Demonstrate AFM aerial image inspection on 180nm
    features.
  • Demonstrate Specular Spectroscopic Scatterometry
    CD metrology for 180nm features.
  • Demonstrate focus classification scheme for 180nm
    features.
  • Complete 3D device simulations of mask errors and
    LER effects in gate-level. Threshold voltage
    shifts, turn-off characteristics, and saturated
    drain current will be evaluated.
  • Complete a simulation feasibility study and
    verification experiment on novel in-lens
    filtering for resolution enhancement.
  • Evaluate the physical basis for novel effects in
    interaction of light with materials and low
    voltage electrons with resists.
  • Establish web based simulation capabilities for
    DUV resists, mask topography effects and
    electron-beam lithography.

4
Litho Milestones, Year 2
  • Demonstrate AFM aerial image inspection on 50nm
    features.
  • Demonstrate 150nm Specular Spectroscopic
    Scatterometry CD metrology.
  • Demonstrate focus classification scheme for 150nm
    features.
  • Test NMOS devices with programmed mask errors and
    LER, compare measured characteristics to
    simulation.
  • Integrate scattering, imaging, resist modeling
    for analyzing inspection and printabilty of mask
    non-idealities in the context of use with OPC.
  • Establish a prototype system for process
    integration including the automatic generation of
    simulation-designed multi-step, short loop test
    structures.
  • Establish web based simulation capabilities for
    optical alignment and advanced electron-beam
    lithography.

5
Outline
  • Simulation and Metrology
  • Lithography Simulator Calibration
  • Scatterometry
  • Plans for Statistical Process Optimization
  • Line Edge Roughness
  • Lithography Simulation

6
In-situ / On-wafer thin film Metrology
  • Reflectometry / Ellipsometry / Scatterometry
  • Thickness, n k, chemical composition
  • Run-to-run and real-time monitoring
  • Resist surface analysis for aerial image
    evaluation

7
Motivation for Parameter Extraction
  • Current lithography simulators are parameter
    limited as opposed to model limited.
  • Traditional optimization techniques are
    unsuitable in complex, non-linear, high
    dimensional problems.
  • Importance of predictive capabilities is
    increasing with increasing development costs and
    time-to-market pressures.

8
Some Critical Parameters in DUV Lithography
Simulation
Amplification Rate (Pre-exp) Amplification Rate
(Activation) Acid Loss Rate (Pre-exp) Acid Loss
Rate (Activation) Dills A Parameter Dills B
Parameter Dills C Parameter Relative Quencher
Conc. PEB Diffusivity (Pre-exp) PEB Diffusivity
(Activation)
Maximum Develop Rate Minimum Develop
Rate Developer Selectivity Developer Threshold
PAC Resist Refractive Index (Real) Resist
Refractive Index (Imag.) ARC Refractive Index
(Real) ARC Refractive Index (Imag.) Relative
Focus Amplification Reaction Order
  • Exact values obtained from experiments or resist
    vendor
  • Narrow range of values available from unpatterned
    experiments
  • Wide parameter range

9
Proposed DUV-SCAPE Framework
3 user specified parameter ranges
1 unpatterned resist experiments
2 global optimization engine (SAC)
5 commercial simulation program
6 simulated profile
4 parameter interface front end
7 global optimization engine (SAC)
2 image processing front end
3 experimental profile
1 patterned resist experiments
10
Salient Features
  • Unpatterned Resist Models
  • BCAM exposure and bake models
  • Mack develop model
  • Optimization Technique
  • Global optimization theory (Adaptive Simulated
    Annealing)
  • Patterned Resist Model
  • Existing lithography simulators (e.g. SAMPLE,
    Prolith, Solid-C, etc.)

11
Experiments - Commercial DUV Resist
  • Unpatterned Resist Characterization Experiments
  • Process 4 wafers with flood exposed sites
  • Measure ARC and Resist optical constants -
    Ellipsometry
  • Measure exposure and PEB parameters - FTIR/DITL
  • Measure develop parameters - DRM
  • Patterned Resist Characterization Experiments
  • Process 1 wafer with a focus-exposure matrix
  • Measure profiles for sub-quarter micron lines
    using AFM/cross-section CD-SEM/Specular
    Spectroscopic Scatterometry

12
Unpatterned Experiments
1 .5 0
135C
140C
Exposure PEB Parameters
Deprotection
120C
110C
0 1 2 3
4 5 6 7
Exposure Dose (mJ/cm2)
3000
Develop Parameters
2000
Develop Rate in A/sec
1000
0
0 0.5
1
Normalized concentration of unreacted sites
13
Patterned Experiments AFM vs Simulation
mask 1 mask 2 mask 3 mask 4 mask 5
mask 6 mask 7 mask 8 mask 9 mask 10
Masks 1-10 differ in the line-space ratios
0.25 micron process technology OPC assisted
masks
-1 Focus 1
-1 Focus 1
14
What is Scatterometry?
  • Concept Scattering (Diffraction) of light from
    features produces strong structure in reflected
    optical field.
  • Analyze structure to obtain topography
    information.
  • Periodic structures (gratings) can be numerically
    modeled exactly.

Incident Laser Beam
Incident Polarized White Light
0th order
0th order
2-q Scatterometry
Specular Spectroscopic Scatterometry
15
Specular Spectroscopic Scatterometry
  • 0th order, broadband detection
  • 1D gratings and 2D symmetric gratings
  • Use spectroscopic ellipsometers

sinqm sinqiml/D sinqmlt1
l
Cut-Off Pitch
600 300 400 200 250 125 (in nm)
16
Timbre ProfilerTM Flow
Timbre ProfilerTM
Timbre ProfilerTM Library Generation
Electromagnetic Simulation Software
Generate Profile Library
Generate Signal Library
Typical turnaround time 6-12 hours
Compiled Profiler Library
Compiled Profiler Library
Collect Reflected Signal
Timbre ProfilerTM Measurement
Reconstructed Profile
Ellipsometer / Reflectometer
Test Grating (Scribe Lane)
Total Measurement Analysis 5 seconds/site
Load Library on Ellipsometer
Ellipsometry Measurement
Analysis
17
ProfilerTM Setup
  • Periodic grating on mask ( 50 mm 50 mm area -
    typical spot size of production spectroscopic
    ellipsometers)
  • line/space specified
  • Provide optical constants for each film in the
    stack
  • Broadband (240-800 nm)
  • Specify variability expected in process (in CD
    thickness)
  • range around nominal in nm
  • Specify spectroscopic ellipsometer /
    reflectometer angle of incidence
  • Save broadband tan y and cos D values
  • Specify accuracy requirements
  • down to sub-nm (this automatically decides
    library size)

18
GTK Interface at http//sfr.berkeley.edu
19
Matching on tan(Y) and cos(D)
Tan(Y)
Cos(D)
Simulated by GTK
20
Example of 0.25mm Profile Extraction
Blue is actual (by Veeco AFM). Red is extracted
from GTK Library.
21
Case I Resist on ARC on Si (0.18 mm technology)
Resist
ARC
Si
Focus-Exposure Matrix
22
Profile Extraction over the entire FEM
RED is AFM. BLUE is extracted.
23
Offset between CD-SEM and ProfilerTM as a
function of Sidewall Angle
D bottom CD (CDSEM - PXM) in nm
Sidewall angle in degrees
24
Case II Resist on ARC on Metal (0.25 mm
technology)
Resist
ARC
TiN
Al
TiN
Ti
TEOS
Si
  • Focus-Exposure Matrix

25
Profile Extraction Resist on ARC on Metal
CD-SEM (Bottom CD)
Profiler Extraction
PXM (Bottom CD)
CD (in nm)
Correlation 0.93
Site Number
26
Case III Etched Metal
TiN
Al
TiN
Ti
TEOS
Si
Focus-Exposure Matrix
27
Profile Extraction Etched Metal
CD-SEM (Top CD)
Correlation 0.92
CD (in nm)
Profiler Extraction
PXM (Top CD)
Site Number
28
But What Is Our Real Goal?
-- a good profile ?
-- or high yield ?
  • We cannot avoid process variations
  • Recipe setting drift focus ( 0.2 ?m), dose, PEB
    temperature
  • Model and material parameter variation resist n
    k, developer Rmax and Rmin, acid diffusivity
  • System inherent variation mask OPC feature
    variation
  • Our goal is to maximize yield for the statistical
    distribution of parameters and operating points.

29
Parameter Variation Effect
Profile deviation from best setting
Operating Point Settings
30
Parameter and Operating Point Variances Extraction
Parameter mean variation
Experiment data
Recipe setting drift
Lithography process
In-die spatial variation
Hierarchical process disturbance extraction
31
Recipe Optimization with Variations
Parameter distributions
Spatial variation
Simulated Output distributions
Profiles within spec.
Calibrated Lithography Simulator
-
Operating Point distributions
Overlapping to get yield
RECIPE OPTIMIZER
32
Recipe Optimization with Multiple Feature Types
Poly layer isolated line periodic lines with
OPC metal layer isolated line periodic lines with
OPC elbows combination of above Need to link
recipe optimization to circuit performance!
33
Parameter relationship analysis
  • In reality, all parameters have variations
  • too many dimensions for output distribution
    calculation
  • Parameter relations can be analyzed to attribute
    the variation of some parameters to other
    parameters
  • diffusivity ? PEB temperature
  • developer temperature ? Rmin and Rmax
  • What are the fundamental reasons behind the
    variation?
  • Need a comprehensive list of disturbances, linked
    to physical models, circuit performance.

34
Summary
Experiment Data
Spatial variation filter
Param. op. point variance
Param. mean values
Calibrated Sim. Eng.
Target Specs. of features
In-line sensor measurement
Recipe of max. yield
Maximization of overlapping area
35
What is Next?
  • Extend statistical optimization to other process
    steps
  • Plasma etching
  • Metallization
  • Device level
  • Circuit level
  • Process simulator for other steps needed
  • Simulator for full process procedure Avant!,
    Solid C
  • device model BSIM3
  • Circuit simulator SPICE
  • Study error budgets, linked to circuit
    performance.

36
Outline
  • Simulation and Metrology
  • Line Edge Roughness
  • Lithography Simulation

37
Defining LER and Defect Specifications
  • SFR Workshop
  • November 08, 1999
  • Tho Nguyen, Shiying Xiong and J. Bokor
  • Berkeley, CA
  • The objective of this work is to understand and
    model the impact of lithography/etch line-edge
    roughness in the gate definition layer, on the
    electrical behavior of short channel transistors

38
Progress Since May
  • Hydrodynamic Model working
  • 3D interaction of Defects
  • Real LER Simulation

39
Effect of Gate Errors on Device Characteristics
gate
Threshold voltage Turn-off slope Drive
current Device reliability
Cross-section
n
n
Edge roughness
Layout views
DL
Single defects
DW
40
Base Design
Channel Doping Selected at 0.4 Volt Halo
Implant Incorporated to Offset Vt rolloff
Threshold Swing 70-80 mV/decade _at_ Vds 2V and L
100nm DIBL 70 mV/V for Vds 0.05-2V
Vt RollOff Characteristics
500
450
Device Length 200 nm Channel Length 100
nm Channel Width 50-200 nm Buried Oxide 100
nm Si Film Thickness 250Å Gate Oxide 30 Å
400
Without Halo Implant
350
With Halo Implant
300
250
0
0.2
0.4
0.6
0.8
1
1.2
Channel Length (Microns)
41
Real 3D LER Construction and Simulation
  • Real 3D LER Created by Matlab and incorporated
    into simulator language
  • LER defined by band-limited white spectrum. 2
    parameters RMS roughness, correlation length
  • Process simulation used for self-aligned S/D
    doping
  • Current digitized LER resolution is 0.5-1nm due
    to limited memory

160
42
Simulation Results
  • Hydrodynamic model has been successfully turned
    on in ISE simulator
  • With hydro on, Ion is 30 higher
  • Simulations of real 3D LER has been successful
    ( _at_ W 50nm)

I_V Curves for Different Real 3D LER
Zoom View of Leakage Current
  • 25 increase in Ioff for 5nm rms roughness
  • 140 increase in Ioff for 9nm rms roughness

43
Simulation Results
  • Defect shows 3D interaction for channel width
    less than 100nm
  • To study LER, we have to use 3D models
  • Intel Work (T. Linton, et al. 1999)
  • Simulation of square-wave modulation of LER with
    Neuman boundary conditions
  • Shows similar 3D interaction
  • Leakage control by length adjustment with
    reasonable Ion reduction

44
Milestone Status
  • June 1999
  • Complete 3D device simulations of mask errors and
    LER effects in gate-level. Threshold voltage
    shifts, turn-off characteristics, and saturated
    drain current will be evaluated.
  • Status Late. Student (Tho Nguyen) started Jan.
    1999. Second student (Shiying Xiong) started
    Sept. 1999. Expect completion March 2000.
  • June 2000
  • Test NMOS devices with programmed mask errors as
    well as varied LER and compare measured
    characteristics with simulation results.
  • Status Delayed. No company fab support. Will
    start Microlab run Jan. 2000 if unable to arrange
    support from company fab.

45
Proposal for 2000-2002
  • Simulation
  • Effect of LER on GIDL
  • Effect of LER in isolation edge
  • Device reliability
  • Extend to 50 nm CD
  • Experiments
  • Complete gate roughness experiment for 100 nm CD
  • Isolation roughness experiment
  • Extend to 50 nm CD??

46
Outline
  • Simulation and Metrology
  • Line Edge Roughness
  • Lithography Simulation

47
Implications of Polarization, Corner Rounding,
OPC Design and OPC Fidelity on Aerial Images
  • Konstantinos Adam
  • Prof. Andrew Neureuther UC Berkeley
  • Use EM theory and rigorous TEMPEST simulations
    to investigate photomask technology issues
  • Current Investigations
  • scattering bars - polarization effects
  • corners - interior versus exterior
  • OPC features - placement and corner rounding

48
Scattering Bar Simulation with TEMPEST
CDtarget130nm
Mag4X
l193nm
Ey
TE Ey polarization
Ex
TM Ex polarization
mm
mm
49
SB Aerial Images
l193nm, NA0.7, s0.6, Mag4X, CDtarget130nm
Aerial Image (Best focus)
Normalized Intensity
(mm)
- Observe that the scatter bars (also the main
feature) appear wider in TM excitation than in TE
and narrower with SPLAT simulation (scalar theory)
50
SB Design Graphs
Intensity dip of SB
CD Control with SB Size Control
Intensity
CD (nm)
Size of SB (l/NA)
Size of SB (l/NA)
51
Corner Rounding (Clear Field Mask) - Ey Near
fields
l193nm
Mag4X
CDtarget130nm
Eincident (TE)
Eincident (TE)
52
Corner Rounding Design Graph
- LES increase versus radius of curvature is
quadratic, i.e. it is proportional to the area
missing from the corner due to the roundness
53
External OPC Ey Near Fields
Example 0.1l/NA Square OPC and Mouse Ear OPC
with radius0.06l/NA
Reference
Square OPC
Mouse Ear OPC
54
OPC Design Graph
Data for OPC displaced along the diagonal
55
Resist modeling, Simulation and Line-End
Shortening effects
  • Mosong Cheng
  • Prof. Andrew Neureuther, UC Berkeley
  • Use experiment and simulation to investigate
    photoresist performance and provide mechanism
    based models, characterization methodology,
    accurate profile simulation and support
    models/fast algorithms for including resist in
    OPC
  • Current investigations
  • chemically amplified resist modeling - LES and
    SFR K2G
  • electric-field-enhanced post-exposure bake
  • fast imaging algorithm for 2-dimensional OPC

56
Resist-model-based line-end shortening simulation
  • APEX-E , UVIIHS, K2G parameter-extraction
    methodology
  • Simulation flow

Problem Top to Top underestimates
diffusion Problem Micro-stepper at Berkeley has
insufficient image quality
57
K2G resist DRM curves and reaction/diffusion/outg
asing model
  • DRM curves, dissolution rate is lower at the top
    if no TARC.

Collaboration with Jacek Tyminski Nikon
  • Reaction/diffusion/outgasing model

58
K2G resist modeling and simulation
  • Modeling methodology

Extracting dissolution parameters
Large-area exposure
Extracting reaction rate
Resist profile simulation
Fitting with DRM data
Extracting diffusivity
  • Fitting DRM curves
  • Resist profile simulation

59
Electric-field-enhanced post-exposure bake
  • Goal shorten PEB time, improve vertical resist
    profile uniformity, reduce lateral acid
    diffusion.
  • Principle vertical electric field enhance the
    vertical movement of photo-acid, hence enhance
    the reaction cross-section. PEB time as well as
    lateral acid diffusion can be reduced.
  • Experimental Setup

Al foil
wafer
Al foil
Hotplate
Resist
E
photoacid
60
Electric-field-enhanced post-exposure bake status
  • Experiment done in summer 1999, on UVII resist
    using JEOL.

RESIST
RESIST
  • UVII resist, 0.5µm L/S, dose 20µC/cm2, PEB with
    100kHz, 3.3V AC, 140oC, 60sec.
  • UVII resist, 0.5µm L/S, dose 20µC/cm2, nominal
    PEB,140oC, 90sec.

61
Fast resist imaging algorithm for 2-dimensional
OPC(submitted to SPIE99)
  • Assume 2-D reaction/diffusion. Let
    f(x,y,t)Cas(x,y,t), g(x,y,t)Ca(x,y,t).

Contains Spatial Laplacian and Uses 3rd Order
Splines
  • Time-advancing scheme

Based on NT Aliasing and NL Relaxation

Very Fast as only requires repeated
multiplication with fixed coefficients
  • Iterative solve c2,d2, to minimize the error E.

62
Fast resist imaging algorithm simulating flow
and tuning parameters
  • Simulating and tuning flow

resist profile
Mask pattern
aerial image
Resist imaging
SPLAT
Resist parameter tuner
Differential
Method of Feasible Direction
SEM picture
  • Extract resist parameters by tuning the image to
    fit with SEM picture.

63
Progress on Milestones
  • Year 1
  • simulate in-lens filtering (Done)
  • resist exposure mechanisms (Not Started
    gtDARPA/SRC)
  • web simulation resist and mask effects (In
    Progress 70)
  • Year 2
  • Integrate scattering, imaging and resist
    (Expanded by 3X in the number of effects
    characterized, In Progress 70)
  • process flow generator for test structures (Not
    Started)
  • web alignment and e-beam (alignment Ongoing 30,
    e-beam Not Started gt DARPA/SRC)

64
Future Opportunities in Lithography
  • Photomask EM effects (How to move faster?)
  • impact of non-idealities
  • inspection and repair
  • Chemically-Amplified Resists
  • models that work
  • methodology to calibrate models for production
  • Optical Systems
  • high NA
  • low k1

65
Targeted Opportunities in Photomasks and Optics
  • Attenuating phase-shifting masks
  • high refractive index and physical height of the
    attenuating material adversely influences light
    in adjacent areas
  • Alternating phase-shifting masks
  • 3D problematical structures - resonate ridges and
    cross-talk between features inside the photomask
  • Phase-shifting mask repair
  • guidelines for adequate repair - height, slope,
    river bed, stain
  • Optics
  • role of laser bandwidth in image quality
  • high NA thin-film polarization effects

66
Targeted Opportunities in Resists and Tools
  • Complete comparison of Simulation and SEM's of
    printed features in K2G resist, quantify the
    accuracy of the resist model.
  • Complete coding of the fast but approximate image
    processing like algorithm and assess speed and
    accuracy against rigorous simulation in STORM.
  • Initiate tool-process-dependent line-end
    shortening investigation by identifying key
    factors contributing to line-end shortening and
    suggesting approaches for control and
    compensation tuning.
Write a Comment
User Comments (0)
About PowerShow.com