ELEC 5270-001/6270-001 Spring 09 Low Power Design of Electronic Circuits - PowerPoint PPT Presentation

About This Presentation
Title:

ELEC 5270-001/6270-001 Spring 09 Low Power Design of Electronic Circuits

Description:

X-Win32 is used to log into UNIX session. Use Windows Auburn login and ... Setup the softwares required to run the tools for simulation, synthesis and test ... – PowerPoint PPT presentation

Number of Views:58
Avg rating:3.0/5.0
Slides: 71
Provided by: Nit461
Category:

less

Transcript and Presenter's Notes

Title: ELEC 5270-001/6270-001 Spring 09 Low Power Design of Electronic Circuits


1
ELEC 5270-001/6270-001 Spring 09 Low Power
Design of Electronic Circuits
  • VLSI Synthesis and Simulation Tools
  • Nitin Yogi 01/09/2009

2
X-Win32
  • X-Win32 is used to log into UNIX session
  • Use Windows Auburn login and password to log into
    X-Win32 session.

3
Start X-Win32 from here
4
Windows Security Alert Warning
If Windows Security Alert window pops up, press OK
5
X-Win32 Login Screen
6
Sometimes X-Win32 may hang at this screen
Right-click on the X-Win32 icon in the task-bar
and click X-Config
7
When X-Win32 doesnt load
Click on Engineering and then click on Remove.
Then restart X-Win32
8
You might also get this screen
Double click on Scan for Unix/Linux hosts
Click on any of the server names and click on
Select
9
You might also get this screen
Click OK
10
UNIX session
  • Setup the softwares required to run the tools for
    simulation, synthesis and test
  • At the command prompt type the following command
    and hit Entergtgt user-setup

Command prompt to type commands in
11
User Setup screen
Click on button Electronics Data Analysis (EDA)
12
User Setup screen
3. Click the X button on the top right
2. Click on the following software
packageseda/Modelsim/6.4 eda/ICFlow/2007.2 eda/D
FT/2007.3
1. Go to the bottom of the option screen
13
Click on Save and Quit
14
The Added modules will be displayed here
Press Commit Changes
15
X-Win32 reset
  • Exit X-Win32 and restart the X-Win32 session
    again as described earlier

16
UNIX environment
  • When you log-in into X-Win32, the current default
    directory is the H of windows system
  • Some useful UNIX commands
  • gtgt cd ltdirectory_namegt change directory
  • gtgt cd .. go up one directory
  • gtgt ls list contents of directory
  • gtgt pwd display the full path of the current dir.
  • gtgt mkdir create directory

17
Designing, compiling and simulating designs
  • In the current design directory (where your HDL
    file resides) run the following commands
  • gtgt vlib work
  • gtgt vmap work work
  • To simulate any design, you need to compile your
    design first. Compile your VHDL design using the
    commandgtgt vcom ltVHDL filenamegte.g.gtgt vcom
    my_ckt.vhd
  • ModelSim tool is used for simulating the
    designTo invoke ModelSim, use the following
    commandgtgt vsim ltdesign_namegte.g.gtgt vsim
    my_ckt
  • Note vsim command takes the design name as the
    input and not the HDL file

18
(No Transcript)
19
1. Click on View gt List to select it (displayed
as a tick)
2. Click on View gt Objects to select it
(displayed as a tick)
20
(No Transcript)
21
(No Transcript)
22
Right click the input signal name and then click
Force
23
Enter the value of the signal over here and then
click OK
24
To simulate, click Simulate gt Run gt Run 100
25
(No Transcript)
26
Useful resource
  • VHDL Design and Simulation using ModelSim on
    Prof. Nelsons website
  • http//www.eng.auburn.edu/department/ee/mgc/quickv
    hdl/modelsim.html

27
From Prof. Nelsons slides
28
From Prof. Nelsons slides
29
Synthesis
  • We shall use the tool Leonardo to synthesize the
    behavioral description into structural level
    netlist
  • Command to invoke Leonardo isgtgt leonardo

30
Click on LeonardoSpectrum Level 3 and then click
OK
31
Click on Advanced FlowTabs
32
Select the library and then click on Load
Library
33
Select your HDL file by clicking Open files
34
Current selected designs will be displayed in
this list
Click on the Read button to read the current
selected HDL design(s) into the tool
35
Area or Delay optimization of circuit can be
selected from here
Click Optimize to synthesize the circuit
36
Report Area gives the types and number of gates
used
37
Report Delay gives the critical path and its
delay
38
Enter a name for the output file and select the
format.
Click Write to write the synthesized design to
file
39
Preparing for simulation of synthesized netlist
(Verilog format)
  • Compile the gate designs that will be used by
    your Verilog synthesized netlist
  • The gate designs are in a file named adk.vhd at
    the location ADK/technology
  • Type the following command in your current
    working directory gtgt vcom ADK/technology/adk.vh
    d -work ./work/
  • Compile Verilog netlist
  • gtgt vlog ltVerilog file_namegte.g. gtgt vlog
    my_ckt.v
  • Simulate Verilog design using ModelSim
  • gtgt vsim ltdesign_namegte.g.gtgt vsim my_ckt

40
(No Transcript)
41
Commands for Analogue Simulation
  • Analogue simulation set-up commands
  • gt ana
  • gt setenv MGC_IC_GENERIC_LIB /opt/mentor/ICFlow/200
    7.2/mgc_icstd_lib/generic_lib/
  • gt setenv ADK_TECH tsmc018
  • gt setenv MGC_AMS_HOME /opt/mentor/anacad/
  • gt unlimit
  • Design architect invocation command
  • gt da_ic

42
Import Verilog Netlist
File gt Import Verilog
43
Import Verilog netlist (cont.)
44
Open Imported Netlist
To open imported netlist click on Schematic
45
(No Transcript)
46
Click on Simulation to enter simulation mode
47
Setup analogue simulation environment
Click on Lib/Tmp/Inc gt Libraries
48
Setup analogue simulation environment (Libraries)
49
Power Supply (VDD) specification file
50
Setup analogue simulation environment (Analyses)
Click on Analyses
51
Setup analogue simulation environment (Analyses)
Click on Transient gt Setup
52
Setup analogue simulation environment (Forcing
signals)
Click on the signal and then click on Forces gt Add
53
Setup analogue simulation environment (Forcing
signals)
54
Setup analogue simulation environment (Forcing
signals)
55
Setup analogue simulation environment (Forcing
signals)
56
Files generated by DA_IC
From Prof. Nelsons slides
57
Working directory of DA_IC
58
Force file generated by DA_IC
59
Setup analogue simulation environment
(Measurements)
Click on Measurements gt Define
60
Setup analogue simulation environment
(Measurements)
61
Setup analogue simulation environment
(Measurements)
Click on Measurements gt Edit
62
Setup analogue simulation environment
(Measurements)
63
Run Simulation
Click on Netlist and then Run ELDO
64
(No Transcript)
65
Wave Viewer (EZWAVE)
  • Invoke EZWAVE using the command
  • gtgt ezwave
  • Note Ensure the UNIX commands to set-up the
    analogue simulation environment (e.g. ana, setenv
    etc. ) are executed before invoking ezwave

66
EZWAVE window
Click on Open button to open a waveform database
file (.wdb)
67
(No Transcript)
68
(No Transcript)
69
Probed Signals will be loaded here.Drag and drop
the required signals in the right-hand side
waveform window.
70
(No Transcript)
Write a Comment
User Comments (0)
About PowerShow.com