Array Structured Memories - PowerPoint PPT Presentation

About This Presentation
Title:

Array Structured Memories

Description:

Array Structured Memories STMicro/Intel UCSD CAD LAB Weste Text Memory Arrays Feature Comparison Between Memory Types Array Architecture 2n words of 2m bits each If n ... – PowerPoint PPT presentation

Number of Views:125
Avg rating:3.0/5.0
Slides: 75
Provided by: eceUcsbE
Category:

less

Transcript and Presenter's Notes

Title: Array Structured Memories


1
Array Structured Memories
  • STMicro/Intel
  • UCSD CAD LAB
  • Weste Text

2
Memory Arrays
3
Feature Comparison Between Memory Types
4
Array Architecture
  • 2n words of 2m bits each
  • If n gtgt m, fold by 2k into fewer rows of more
    columns
  • Good regularity easy to design
  • Very high density if good cells are used

5
Memory - Real Organization
6
Hierarchical Memory Architecture
7
Array Organization Design Issues
  • aspect ratio should be relative square
  • Row / Column organisation (matrix)
  • R log2(N_rows) C log2(N_columns)
  • R C N (N_address_bits)
  • number of rows should be power of 2
  • number of bits in a row need not be
  • sense amplifiers to speed voltage swing
  • 1 -gt 2R row decoder
  • 1 -gt 2C column decoder
  • M column decoders (M bits, one per bit)
  • M output word width

8
Simple 4x4 SRAM Memory
read precharge
bit line precharge
enable
WL0
BL
!BL
A1
2 bit width M2 R 2 gt N_rows 2R 4 C 1
N_columns 2c x M 4 N R C 3 Array size
N_rows x N_columns 16
WL1
Row Decoder
A2
WL2
WL3
A0
Column Decoder
A0!
clocking and control -gt
sense amplifiers
write circuitry
WE! , OE!
9
SRAM Read Timing (typical)
  • tAA (access time for address) time for stable
    output after a change in address.
  • tACS (access time for chip select) time for
    stable output after CS is asserted.
  • tOE (output enable time) time for low impedance
    when OE and CS are both asserted.
  • tOZ (output-disable time) time to high-impedance
    state when OE or CS are negated.
  • tOH (output-hold time) time data remains valid
    after a change to the address inputs.

10
SRAM Read Timing (typical)
stable
stable
stable
ADDR
CS_L
OE_L
tOE
valid
valid
valid
DOUT
WE_L HIGH
11
SRAM Architecture and Read Timings
12
SRAM write cycle timing
WE controlled
CS controlled
13
SRAM Architecture and Write Timings
Write driver
14
SRAM Cell Design
  • Memory arrays are large
  • Need to optimize cell design for area and
    performance
  • Peripheral circuits can be complex
  • 60-80 area in array, 20-40 in periphery
  • Classical Memory cell design
  • 6T cell full CMOS
  • 4T cell with high resistance poly load
  • TFT load cell

15
Anatomy of the SRAM Cell
  • Write
  • set bit lines to new data value
  • b b
  • raise word line to high
  • sets cell to new state
  • Low impedance bit-lines
  • Read
  • set bit lines high
  • set word line high
  • see which bit line goes low
  • High impedance bit lines

16
SRAM Cell Operating Principle
  • Inverter Amplifies
  • Negative gain
  • Slope lt 1 in middle
  • Saturates at ends
  • Inverter Pair Amplifies
  • Positive gain
  • Slope gt 1 in middle
  • Saturates at ends

17
Bistable Element
Stability Require Vin V2 Stable at
endpoints recover from pertubation Metastable
in middle Fall out when perturbed
18
Cell Static Noise Margin
  • Cell state may be disturbed by
  • DC
  • Layout pattern offset
  • Process mismatches
  • non-uniformity of implantation
  • gate pattern size errors
  • AC
  • Alpha particles
  • Crosstalk
  • Voltage supply ripple
  • Thermal noise

SNM (static noise margin) Maximum Value of
Vn not flipping cell state
19
SNM Butterfly Curves
20
SNM for Poly Load Cell
21
12T SRAM Cell
  • Basic building block SRAM Cell
  • 1-bit/cell (noise margin again)
  • 12-transistor (12T) SRAM cell
  • Latch with TM-gate write
  • Separately buffered read

22
6T SRAM Cell
  • Cell size accounts for most of array size
  • Reduce cell size at cost of complexity/margins
  • 6T SRAM Cell
  • Read
  • Precharge bit, bit_b
  • Raise wordline
  • Write
  • Drive data onto bit, bit_b
  • Raise wordline

23
SRAM Design
Figures courtesy A. Chatterjee et al., P. Bai
et al., and Z. Luo et al., Int. Electron
Device Meeting Tech. Digest, 2004
24
Vertical 6T Cell Layout
B-
B
N Well Connection
VDD
PMOS Pull Up
Q/
Q
NMOS Pull Down
GND
SEL
SEL MOSFET
Substrate Connection
25
SRAM Bitcell Design
WL
Schematic
Micrograph
Layout
  • Requirements of SRAM bitcell design
  • Stable read operation Do not disturb data when
    reading
  • Stable write operation Must write data within a
    specified time
  • Stable data retention Data should not be lost
  • Typical transistor sizing
  • Cell ratio ( I(PD) / I(PG)) 1.5 2.5
  • Pull-up ratio ( I(PU) / I(PG)) 0.5

26
Detailed SRAM Bitcell Layout
  • Vertical 2 poly pitch
  • Horizontal 5 contact pitch
  • Poly-to-contact space gt overlay spacer
    strain_layer CD_control

  • (6.4nm) (? 8nm) (?10nm) (? 2.6nm)
    27nm
  • 1 poly pitch 2 poly_to_contact poly_width
    contact_width

  • ? 54 32 45 131 nm
  • A pitch is a multiple of a drawing grid for
    fine-grain pattern placement
  • Ex. 5 grid per pitch ? drawing grid (131/5)
    26 nm
  • Ex. 6 grid per pitch ? drawing grid (131/6)
    22 nm

From ITRS 32nm tech. From S. Verhaegen et
al., SPIE Adv. Litho., 2008
27
SRAM Read
  • Precharge both bitlines high
  • Then turn on wordline
  • One of the two bitlines will
  • be pulled down by the cell
  • Ex A 0, A_b 1
  • bit discharges, bit_b stays high
  • But A bumps up slightly
  • Read stability
  • A must not flip
  • N1 gtgt N2

28
SRAM Read, 0 is stored in the cell
29
SRAM Write
  • Drive one bitline high, other low
  • Then turn on wordline
  • Bitlines overpower cell
  • Ex A 0, A_b 1, bit 1, bit_b 0
  • Force A_b low, then A rises high
  • Writability
  • Must overpower feedback
  • P2 ltlt N4 to force A_b low,
  • N1 turns off, P1 turns on,
  • raise A high as desired

30
SRAM Sizing
  • High bitlines must not overpower inverters during
    reads
  • But low bitlines must write new value into cell

31
SRAM Column Example
read
write

32
Decoders
  • n2n decoder consists of 2n n-input AND gates
  • One needed for each row of memory
  • Build AND from NAND or NOR gate

choose minimum size to reduce load on the address
lines
Pseudo-nMOS
static
33
Single Pass-Gate Mux
bitlines propagate through 1 transistor
34
Decoder Layout
  • Decoders must be pitch-matched to SRAM cell
  • Requires very skinny gates

35
Large Decoders
  • For n gt 4, NAND gates become slow
  • Break large gates into multiple smaller gates

36
Predecoding
  • Many of these gates are redundant
  • Factor out common
  • gates into predecoder
  • Saves area
  • Same path effort

37
(No Transcript)
38
Column Circuitry
  • Some circuitry is required for each column
  • Bitline conditioning
  • Sense amplifiers
  • Column multiplexing
  • Each column must have write drivers and read
    sensing circuits

39
Column Multiplexing
  • Recall that array may be folded for good aspect
    ratio
  • Ex 2k word x 16 folded into 256 rows x 128
    columns
  • Must select 16 output bits from the 128 columns
  • Requires 16 81 column multiplexers

40
Typical Column Access
41
Pass Transistor Based Column Decoder
BL3
BL2
BL1
BL0
!BL3
!BL2
!BL1
!BL0
S3
A1
S2
2 input NOR decoder
S1
A0
S0
Data
!Data
  • Advantage speed since there is only one extra
    transistor in the signal path
  • Disadvantage large transistor count

42
Tree Decoder Mux
  • Column MUX can use pass transistors
  • Use nMOS only, precharge outputs
  • One design is to use k series transistors for
    2k1 mux
  • No external decoder logic needed

43
Ex 2-way Muxed SRAM
2-to-1 mux
two bits from two cells and selected by A0
44
Bitline Conditioning
  • Precharge bitlines high before reads
  • Equalize bitlines to minimize voltage difference
    when using sense amplifiers

45
Sense Amplifier Why?
Cell pull down Xtor resistance
  • Bit line cap significant for large array
  • If each cell contributes 2fF,
  • for 256 cells, 512fF plus wire cap
  • Pull-down resistance is about 15K
  • RC 7.5ns! (assuming DV Vdd)
  • Cannot easily change R, C, or Vdd, but can change
    DV i.e. smallest sensed voltage
  • Can reliably sense DV as small as lt50mV

Cell current
46
Sense Amplifiers
  • Bitlines have many cells attached
  • Ex 32-kbit SRAM has 256 rows x 128 cols
  • 128 cells on each bitline
  • tpd ? (C/I) DV
  • Even with shared diffusion contacts, 64C of
    diffusion capacitance (big C)
  • Discharged slowly through small transistors
    (small I)
  • Sense amplifiers are triggered on small voltage
    swing (reduce DV)

47
Differential Pair Amp
  • Differential pair requires no clock
  • But always dissipates static power

48
Clocked Sense Amp
  • Clocked sense amp saves power
  • Requires sense_clk after enough bitline swing
  • Isolation transistors cut off large bitline
    capacitance

49
Sense Amp Waveforms
1ns / div
wordline?
wordline?
begin precharging bit lines
sense clk?
sense clk?
50
Write Driver Circuits
51
Dual-Ported SRAM
  • Simple dual-ported SRAM
  • Two independent single-ended reads
  • Or one differential write
  • Do two reads and one write by time multiplexing
  • Read during ph1, write during ph2

wordA reads bit_b (complementary) wordB reads
bit (true)
52
Multiple Ports
  • We have considered single-ported SRAM
  • One read or one write on each cycle
  • Multiported SRAM are needed for register files
  • Examples
  • Multicycle MIPS must read two sources or write a
    result on some cycles
  • Pipelined MIPS must read two sources and write a
    third result each cycle
  • Superscalar MIPS must read and write many sources
    and results each cycle

53
Multi-Ported SRAM
  • Adding more access transistors hurts read
    stability
  • Multiported SRAM isolates reads from state node
  • Single-ended design minimizes number of bitlines

54
Logical effort of RAMs
55
(No Transcript)
56
Twisted Bitlines
  • Sense amplifiers also amplify noise
  • Coupling noise is severe in modern processes
  • Try to couple equally onto bit and bit_b
  • Done by twisting bitlines

57
Alternative SRAM Cells
  • Low Voltage/High Leakage/Process Variations crowd
    the operating margins of conventional SRAM
  • Alternative Sense Amplifiers, column and row
    arrangements, adaptive timing, smaller hierarchy,
    redundant and spare rows/columns have all been
    addressed in the literature with some success.
  • Some problems come from the cell design itself
    modifying the cell can break conflicting demands
    for optimization

58
10T
  • Features
  • BL Leakage reduction
  • Approaches
  • Separated Read port
  • Stacked effect by M10
  • Performance
  • 400mV_at_475kHz, 3.28uW
  • 320mV W/O Read error_at_27?
  • 380mV W/O Write error_at_27?
  • Vmin300mV_at_1 bit errors
  • 256 bits/BL

A 256-kb 65-nm Sub-threshold SRAM Design for
Ultra-Low-Voltage Operation B. Calhoun A.
Chandrakasan, JSSC, 2007
59
10T
  • Features
  • BL leakage reduction of data
  • Approaches
  • Virtual GND Replica
  • Reverse Short Channel Effect
  • BL Writeback
  • Performance
  • 0.2V_at_100kHz, 2uW
  • 1024 bits/BL
  • 130nm process technology

A High-Density Subthreshold SRAM with
Data-Independent Bitline Leakage andVirtual
Ground Replica SchemeChris Kim, ISSCC, 2007
60
10T
  • Features
  • ST cell array can work _at_160mV
  • 2.1x larger than 6T cell
  • Approaches
  • Schmitt Trigger based cell
  • Good stability _at_ LowVDD
  • Good scalability
  • Performance
  • Read SNM?1.56x _at_VDD0.4V
  • More power saving
  • Leakage power?18
  • Dynamic power?50
  • Hold SNM _at_150mV is 2.3x of 6T
  • 130nm process





A 160mV Robust Schmitt Trigger Based Subthreshold
SRAMK. Roy, JSSC, 2007
61
9T
  • Features
  • Modifying from 10Tcell
  • 17 more area than 6T cell
  • 16.5 less area than 10T cell
  • Approaches
  • More leakage saving than 8T cell
  • Separated read port
  • Performance
  • 128 bits/BL _at_350mV ,100MHz
  • Hold SNM117mV _at_300mV
  • Stand-by power 6uW
  • 65nm process





A 100MHz to 1GHz, 0.35V to 1.5V Supply 256x64
SRAM Block using Symmetrized 9T SRAM cell with
controlled ReadS. A. Verkila,et al, Conference
on VLSI Design, 2008
62
9T
  • Features
  • Read stability enhancement
  • Leakage power reduction
  • Approaches
  • Separated read port
  • Min. sizing of N3, N4 and negative
  • Vg7, and larger Node3 during stand-by mode for
    leakage reduction
  • Performance
  • 2x R-SNM cf. 6T
  • 22.9 leakage power reduction
  • 65 nm PTM

High Read Stability and Low Leakage Cache Memory
CellZ. Liu and V. Kursun, IEEE Conference, 2007
63
8T
  • Features
  • No read disturb
  • About 30 area penalty
  • Approaches
  • Separate Read Write WL
  • Separated read port
  • Performance
  • Larger SNM than 6T
  • Better scalability than 6T





Stable SRM Cell Design for the 32nm Node and
BeyondLeland Chang et. al, Symp. on VLSI,2005
64
8T
  • Features
  • No read disturb
  • Low VDD(350mV)
  • Low subthreshold(Sub. Vt) leakage
  • Approaches
  • Separate Read Write WL
  • Separated read port
  • Foot-drivers reduce the sub.Vt leakage
  • Performance
  • 65nm process ,128 cells/row
  • Operating _at_ 25KHz
  • 2.2uW leakage power


A 256kb 65nm 8T Subhreshold SRAM Employing
Sense-Amplifier RedundancyN. Verma ,and A. P.
Chandrakasan, JSSC,2008
65
7T
  • Features
  • 23 smaller than Conv. 6T bitcell
  • Low VDD(440mV)
  • Not suit for low speed demand
  • Approaches
  • Separate Read Write WL
  • Seperate Read Write BL
  • Data protection nMOSN5
  • Performance
  • 20ns access time_at_0.5V
  • 90nm process

A Read-Static-Noise-Margin-Free SRAM Cell
forLow-VDD andHigh-Speed Applications NEC,
JSSC, 2006
66
7T
  • Features
  • 90 power saving
  • Approaches
  • BL swing VDD/6
  • Performance
  • 0.35um proces
  • Leakage not controlled well

90 Write Power-Saving SRAM Using
Sense-Amplifying Memory CellT. Sakurai et. al.,
JSSC, 2004
67
7T
  • Features
  • Low write power
  • SNM is effected by Read pattern
  • (Read 0-N2,P2,N4 Read 1-N1,P1,N3,N5)
  • 17.5 larger than 6T
  • Approaches
  • Reducing write power by cut off
  • the (feedback) connection to BL
  • Performance
  • 0.18um proces
  • 49 write power saving

Novel 7T SRAM Cell For Low Power Cache DesignR.
Aly, M. Faisal and A. BayoumiIEEE SoC Conf., 2005
68
6T
  • Features
  • Single-ended
  • Low VDD
  • Approaches
  • Adjustable header/footer (virVDD, virGND)
  • Performance
  • VDD range 1.2V193mV
  • Vmin170mV with 2 redundancy

A Sub-200mV 6T SRAM in 0.13µm CMOSISSCC, 2007
69
5T
  • Features
  • Single-ended
  • Single BL, Single WL
  • Area 23 smaller than 6T
  • Approaches
  • BL precharge to Vpc600mV
  • Asymmetric cell sizing
  • Differential SA is used for Read
  • Performance
  • 75 BL leakage reduction cf. 6T
  • SNM is 50 lower than the 6Ts
  • 0.18um process

Low-skewed Inverter
High-skewed Inverter
A High Density, Low Leakage, 5T SRAM for Embeded
CachesI. Carlson et.al., ESSCIRC, 2004
70
Example Electrical Design UCSD 32nm prototype
  • Butterfly (read stability)
  • N-curves (read and write stability)
  • Iread (read stability and access time)
  • VDDHOLD (data retention)
  • Ileakage (power and data retention)
  • SPICE Model
  • 32nm HKMG (high-K/metal-gate) from PTM
  • Reference Design
  • Scaled bitcell from TSMC 90nm bitcell

TSMC 90nm TSMC 90nm 32nm scaled from TSMC 90nm (REFERENCE) 32nm scaled from TSMC 90nm (REFERENCE) 32nm proposed (for 30x12, 25x12) 32nm proposed (for 30x12, 25x12)
L (nm) W (nm) L (nm) W (nm) L (nm) W (nm)
Pull-up 100 100 32 32 32 44
Pull-down 100 175 32 56 32 88
Pass-Gate 115 120 37 38 32 44
71
Butterfly and N-Curves
  • Measure method
  • Increase VR and measure VL
  • Increase VL and measure VR
  • Make voltage transfer curve in VR and VL axes ?
    Butterfly
  • Measure Iin ? N-curve

72
Iread, Ileakage and VDDHOLD
  • Iread
  • Measure bitline current when WL switches to high
  • ILEAKAGE
  • Measure VDD (or VSS) current when WL0
  • VDDHOLD
  • Decreasing VDD voltage, while WL0
  • Measure minimum VDD voltage when V(nl) - V(nr)
    sensing margin

(100mV is assumed)
REFERENCE 32nm proposed (for 30x12 and 25x12)
Iread 41.2 uA 66.7 uA
Ileakage 85.4 nA 142.7 nA
VDDHOLD 110 mV 118 mV
73
Corner Simulation Butterfly and N-Curve
  • Three candidate layouts across operating corners
    show little difference

(FF, -40degC, 1.0V)
(NN, 25degC, 1.0V)
(SS, 125degC, 1.0V)
74
Corner Simulation Iread , Ileakage and VDDHOLD
Ileakage (A)
Iread (A)
VDD (V)
VDDHOLD (V)
Write a Comment
User Comments (0)
About PowerShow.com