4-Bit Binary-to-BCD Converter: case Statement - PowerPoint PPT Presentation

1 / 5
About This Presentation
Title:

4-Bit Binary-to-BCD Converter: case Statement

Description:

Title: Code Converters Last modified by: haskell Created Date: 2/3/1999 2:24:40 AM Document presentation format: On-screen Show Other titles: Times New Roman Courier ... – PowerPoint PPT presentation

Number of Views:36
Avg rating:3.0/5.0
Slides: 6
Provided by: oak104
Category:

less

Transcript and Presenter's Notes

Title: 4-Bit Binary-to-BCD Converter: case Statement


1
4-Bit Binary-to-BCD Convertercase Statement
  • Discussion D3.4
  • Example 14

2
Binary-to-BCD Converter
3
-- Example 14 4-Bit Binary-to-BCD
Converter library IEEE use IEEE.STD_LOGIC_1164.al
l entity binbcd4 is port( b in
STD_LOGIC_VECTOR(3 downto 0) p out
STD_LOGIC_VECTOR(4 downto 0) ) end
binbcd4
4
architecture binbcd4 of binbcd4 is signal ps
STD_LOGIC_VECTOR(7 downto 0) begin
process(b) begin case b is
when X"0" gt ps lt X"00" when
X"1" gt ps lt X"01" when X"2" gt
ps lt X"02" when X"3" gt ps lt
X"03" when X"4" gt ps lt X"04"
when X"5" gt ps lt X"05"
when X"6" gt ps lt X"06" when
X"7" gt ps lt X"07" when X"8" gt
ps lt X"08" when X"9" gt ps lt
X"09" when X"A" gt ps lt X"10"
when X"B" gt ps lt X"11"
when X"C" gt ps lt X"12" when
X"D" gt ps lt X"13" when X"E" gt
ps lt X"14" when X"F" gt ps lt
X"15" when others gt ps lt X"00"
end case end process p lt ps(4
downto 0) end binbcd4
5
Aldec Active-HDL Simulation
Write a Comment
User Comments (0)
About PowerShow.com