Body Bias Voltage Computations for Process and Temperature Compensation - PowerPoint PPT Presentation

1 / 28
About This Presentation
Title:

Body Bias Voltage Computations for Process and Temperature Compensation

Description:

M.S. (Electrical Engineering) Defense. September 2006. Sanjay Kumar. Department of Electrical and Computer Engineering. University of Minnesota. Outline ... – PowerPoint PPT presentation

Number of Views:423
Avg rating:3.0/5.0
Slides: 29
Provided by: san7200
Category:

less

Transcript and Presenter's Notes

Title: Body Bias Voltage Computations for Process and Temperature Compensation


1
Body Bias Voltage Computations for Process and
Temperature Compensation
  • M.S. (Electrical Engineering) Defense
  • September 2006

Sanjay Kumar
Department of Electrical and Computer
Engineering University of Minnesota
2
Outline
  • Adaptive Body Bias (ABB)
  • ABB Control Systems
  • Problem Statement
  • Solution
  • Algorithms for ABB Voltage Selection
  • Simulation Results
  • Conclusion

3
Motivation
S.Borkar Intel
caused due to process and temperature variations
4
Body Bias
PMOS Device Configuration
S
VS
VG
VD
G
B
D
VB
5
Adaptive Body Bias
Measure the delay and leakage of a circuit block.
D D
D D
Apply Reverse Body Bias
Apply Forward Body Bias
6
ABB Control Systems
Critical path replica based control systems
Intel Circuit Research Labs
7
ABB Control Systems
Look-up table based control systems
  • Look up table stores bias values.
  • Temperature sensor references LUT.
  • Values pre-determined before run-time.
  • Can simulate/measure delay and leakage of the
    entire circuit to pre-compute.

TSFC
8
Problem Statement
  • Determine the right amount of body bias to
    compensate for
  • process variations
  • temperature variations
  • Minimize the amount of tester time
  • determine voltages based on minimum amount of
    tester measurements

9
System Level Block Diagram
Circuit Block with Biasable NWELL and PWELL
To NWELL
To PWELL
Temperature Sensor
ROM (Look up Table)
vbp
vbn
From Body Bias Generator
To Body Bias Generator
10
Algorithms for ABB Voltage Selection
  • Bounded Enumeration based ABB
  • Mathematically Assisted ABB
  • PTABB
  • PABB - TABB

11
Enumeration
Delay increases Leakage decreases
Final Solution
Leakage under budget?
Set of points which do not meet delay
Set of points which meet delay
12
Enumeration
Drawbacks
Strengths
  • Guaranteed optimal solution
  • Very few searches required if solution close to
    (vbnmax, vbpmax)
  • Complexity O(n2)
  • For solutions which require RBB, very large
    run-time
  • Tester cost may be too high if n is large.

13
Mathematically Assisted ABB
Find the exact solution that lies along the blue
line.
  • Formulate an NLPP
  • Minimize L(vbn,vbp) s.t.
  • D(vbn,vbp) D
  • vbnmin vbn vbnmax
  • vbpmin vbp vbpmax.
  • Need models for L(vbn,vbp) and D(vbn,vbp).
  • Use 4th order polynomial best fit expressions.
  • Measure leakage and delay of the CUT at sample
    points on the tester.

Set of points which do not meet delay
Set of points which meet delay
14
PTABB Algorithm
vbn
Measure delay leakage
vbp
Circuit Block
Build models for delay and leakage
Snap to nearest vstep
Formulate NLPP Min L(vbn,vbp) s.t. D(vbn,vbp)
D vbnmin vbn vbnmax vbpmin vbp vbpmax
Determine (vbn,vbp)
Performed at each compensatory temperature
15
PABB - TABB
Process Adaptive Body Bias (PABB)
Temperature Adaptive Body Bias (TABB)
Ambient Temperature Conditions
Ideal Process Conditions
Determine voltages (vbnP,vbpP)
Determine voltages (vbnT,vbpT)
Process ABB Temperature ABB
Compute voltages (vbnPT,vbpPT)
16
Independence of Variations
Temperature Variations
Process Variations
Channel length (L) Oxide thickness (tox) Dopant
concentration (NA)
Mobility of electrons and holes (µ) Silicon work
function (Fs)
Affect transistor threshold voltage (Vth)
Can we orthogonalize their effects?
17
Monte Carlo Simulation Results for a Ring
Oscillator
Varying parameters L, Vthn, Vthp, T
Delay through SPICE simulations
Delay at some P, T D(P,T0)
Delay at some T, P D(P0,T)
Sum of ?Delays ?D(P0,T) ?D(P,T0)
Error ?
Actual ?Delay D(P,T) D(P0,T0)
18
PABB-TABB Algorithm
(vbnPT,vbpPT) (vbnP,vbpP) (vbnT,vbpT)

(vbnP,vbpP)
TABB values for each block determined through
simulations.
PABB values for each block determined by solving
NLPP
PTABB values for each block at each compensating
temperature
19
Summary
n No. of body bias voltages (n gt m) m No. of
vbn/vbp values used for polynomial interpolation
(m 3) k No. of temperature compensatory points
20
Testing the Algorithms
  • Determine the vbn, vbp values to populate the
    LUT.
  • Estimate no of (D,L) measurements required (run
    time).
  • Compare accuracy of PTABB and PABB-TABB with
    enumeration.
  • Tested using 10 ISCAS85 benchmarks.

21
Variations and Performance Spread
22
C6288 65nm Technology
23
C6288 45nm Technology
24
Run time () Accuracy Comparison
Error in back-annotated delay values from PTABB
and PABB-TABB
No of tester measurements required before
finding the optimal solution.
25
Conclusion
  • Bidirectional ABB can be used to improve the
    yield of dies for reasonable ranges of
    operating temperatures and process variations
  • One-time compensation for process variations and
    run-time compensation for temperature variations
    performed.
  • Minimal tester measurement based schemes
    developed.
  • Accuracy-run time trade-offs explored.

26
Backup
27
Grid Snapping
  • Snap to NE corner vbn and vbp to higher vstep.
  • Snap to SE corner vbp to lower vstep and vbn to
    higher vstep.
  • Snap to NW corner vbp to higher vstep and vbn
    to lower vstep.
  • Compare the delay and leakage of the 3 points and
    snap.

vbp
vbn
28
Temperature Dependence
  • Negative Temp Dep
  • D increases with T.
  • Higher body bias needed to meet D.
  • More leakage.
  • Positive Temp Dep
  • D decreases with T.
  • Can RBB to save leakage.
  • Delay still monotonically decreases with
    (vbn,vbp)
  • No change to algorithm.
Write a Comment
User Comments (0)
About PowerShow.com