Introduction to Electronic Design Automation Chia-Tso Chao (???) mango@faculty.nctu.edu.tw - PowerPoint PPT Presentation

1 / 76
About This Presentation
Title:

Introduction to Electronic Design Automation Chia-Tso Chao (???) mango@faculty.nctu.edu.tw

Description:

DEE3502 Introduction to Electronic Design Automation Chia-Tso Chao ( ) mango_at_faculty.nctu.edu.tw Department of Electronics Engineering National Chiao Tung ... – PowerPoint PPT presentation

Number of Views:473
Avg rating:3.0/5.0
Slides: 77
Provided by: jar90
Category:

less

Transcript and Presenter's Notes

Title: Introduction to Electronic Design Automation Chia-Tso Chao (???) mango@faculty.nctu.edu.tw


1
Introduction toElectronic Design
AutomationChia-Tso Chao (???)
mango_at_faculty.nctu.edu.tw
DEE3502
  • Department of Electronics Engineering
  • National Chiao Tung University
  • Spring 2014

2
Administrative Matters
  • Time/Location Tuesday EF_at_ED301, Thursday
    B_at_EDB06.
  • URL http//tiger.ee.nctu.edu.tw/course.html
  • Office Hours Tuesday CD (made by appointment)
  • Office ED625 ext. 31671
  • Teaching Assistant
  • ???, genius548_at_gmail.com
  • ???, kyleshen104_at_hotmail.com
  • Lab room ED612, ext. 54178
  • Prerequisites Data structures logic design
  • Reference Books
  • Y.-W. Chang, K.-T. Cheng, and L.-T. Wang
    (Editors). Electronic Design Automation
    Synthesis, Verification, and Test. Elsevier, 2009
  • Sabih H. Gerez, Algorithms for VLSI Design
    Automation, John Wiley Sons, 1999. ISBN
    0-471-98489-2.
  • Giovanni De Micheli, Synthesis and Optimization
    of Digital Circuits, McGraw-Hill, Inc., 1994.
    ISBN 0-07-01332-2.

3
Course Objectives
  • Study techniques for electronic design automation
    (EDA), a.k.a. computer-aided design (CAD)
  • Study IC technology evolution and their impacts
    on the development of EDA tools
  • Study problem-solving (-finding) techniques!!!

f1 f2 f3 f4 f5
v1 x x x
v2 x x x
v3 x x x
v4 x x x
4
Course Contents
  • Introduction to VLSI design flow/styles/automation
    , technology roadmap, CMOS Technology (6 hrs)
  • Logic synthesis and verification (9 hrs)
  • Timing analysis (3 hrs)
  • Algorithmic graph theory and computational
    complexity (3 hrs)
  • Physical design partitioning, floorplanning,
    placement, routing, compaction (21 hrs)
  • Testing (3 hrs)

5
Grading Policy
  • Grading Policy
  • Homework 25
  • Two exams 25
  • Contest 25
  • Homework
  • All programming (2 times most likely)
  • Have to be done by individual student (no group)
  • Exams
  • Midterm 25 Final 25
  • All written
  • Contest
  • Score is given based on the performance ranking
    among all students
  • WWW http//tiger.ee.nctu.edu.tw/course.html
  • Academic Honesty Plagiarism is strongly
    prohibited

6
Introduction to Computer-Aided Design of VLSI
Circuits
  • ??????? ???
  • ??????? ???
  • ??????? ???
  • ??????? ???
  • ??????? ???
  • ???
  • ??????????????????
  • EDA??

7
Unit 1 Introduction
  • Course contents
  • Introduction to VLSI design flow/methodologies/sty
    les
  • Introduction to VLSI design automation tools
  • Semiconductor technology roadmap
  • CMOS technology
  • Readings
  • Chapters 1-2
  • Appendix A

8
Evolving CAD / EDA
  • The Industrial Revolution
  • Application of power-driven machinery to
    manufacturing (17501830)
  • The 2nd Industrial Revolution!
  • Application of electronic devices to information
    processing (1950present)
  • Electronic systems evolve in a fascinating speed
  • Design challenges emerge and shift in this
    evolution
  • CAD tools exist and evolve along with the design
    challenges

Courtesy of J.-H. Jiang
9
Mission of CAD / EDA
  • CAD tools aim at automating VLSI design process
    and optimizing all design instances (not just a
    specific design)

Courtesy of J.-H. Jiang
10
Discipline of CAD / EDA
  • EDA is a field with rich applications from
    theoretical computer science, operations
    research, mathematics as well as physics
  • Algorithms, complexity theory
  • Automata theory, logics, games
  • Probability, statistics
  • Algebra
  • Numerical analysis, matrix computation
  • Device physics
  • EDA is also a paradise for software engineers
  • Modern SAT solvers (e.g., GRASP, Chaff, BerkMin,
    MiniSAT) are developed greatly due to EDA

Courtesy of J.-H. Jiang
11
Brief History of Design Automation
  • 1960s circuit board physical design automation
  • 1970s simulation, device modeling
  • SPICE
  • Technology CAD
  • 1980s LSI physical design, two-level logic
    minimization, testing
  • 1990s multi-level and sequential optimization,
    hardware verification
  • 2000s system-level synthesis, design for
    manufacturing, software verification

Courtesy of J.-H. Jiang
12
SoC Architecture
  • An SoC system typically consists of a collection
    of components/subsystems that are appropriately
    interconnected to perform specified functions for
    users.

13
Traditional VLSI Design Cycles
  • System specification
  • Functional design
  • Logic synthesis
  • Circuit design
  • Physical design and verification
  • Fabrication
  • Packaging
  • Testing after each of fabrication and packaging
  • Other tasks involved simulation, emulation
    (FPGA), etc.
  • Design metrics area, speed, power dissipation,
    noise, design time, testability, etc.
  • Design revolution
  • Testings cost greatly increases (second to
    fabrication cost)
  • Power-driven (not performance-driven) design
    methodology
  • Circuit performance is highly affected by its
    physical design rather than its logic design

14
Traditional VLSI Design Flow
15
Traditional VLSI Design Flow (Cont'd)
16
Design Actions
  • Synthesis increasing information about the
    design by providing more detail (e.g., logic
    synthesis, physical synthesis).
  • Analysis collecting information on the quality
    of the design (e.g., timing analysis).
  • Verification checking whether a synthesis step
    has left the specification intact (e.g., layout
    verification).
  • Optimization increasing the quality of the
    design by rearrangements in a given description
    (e.g., logic optimizer, timing optimizer).
  • Design Management storage of design data,
    cooperation between tools, design flow, etc.
    (e.g., database).

17
Design Issues and Tools
  • Algorithmic system design
  • Partitioning into hardware and software,
    co-design, co-simulation, etc.
  • Cost estimation, design-space exploration
  • Experiment with specifications
  • Behavioral descriptions (e.g. in system c, system
    Verilog) and high-level simulation
  • High-level (or architectural) synthesis from
    algorithms to hardware modules
  • Logic design
  • Can be schematic edited (but not efficient for
    large design)
  • Register-transfer level (Verilog VHDL)
    simulation
  • Logic synthesis
  • Gate-level simulation (functionality, power, etc)
  • Timing analysis
  • Formal verification

18
Logic Design/Synthesis
  • Logic synthesis programs transform Boolean
    expressions into logic gate networks in a
    particular library
  • Optimization goals minimize area, delay, power,
    etc
  • Technology-independent optimization logic
    optimization
  • Optimizes Boolean expression equivalent.
  • Technology-dependent optimization technology
    mapping/library binding
  • Maps Boolean expressions into a particular cell
    library.

19
Logic Optimization Examples
  • Two-level minimize the of product terms.
  • Multi-level minimize the 's of literals,
    variables.
  • E.g., equations are optimized using a smaller
    number of literals.
  • Methods/CAD tools Quine-McCluskey method
    (exponential-time exact algorithm), Espresso
    (heuristics for two-level logic), MIS (heuristics
    for multi-level logic), Synopsys, etc.

20
Design Issues and Tools (Contd)
  • Transistor-level design
  • Switch-level simulation
  • Circuit simulation
  • Physical (layout) design
  • Partitioning
  • Floorplanning and Placement
  • Routing
  • Layout editing and compaction
  • Design-rule checking
  • Layout extraction
  • Design management
  • Data bases, frameworks, etc.
  • Silicon compilation from algorithm to mask
    patterns
  • The idea is approached more and more, but still
    far away from a single push-buttom operation

21
Circuit Simulation of a CMOS Inverter (0.6 ?m)
22
Physical Design
  • Physical design converts a circuit description
    into a geometric description.
  • The description is used to manufacture a chip.
  • Physical design cycle
  • Logic partitioning
  • Floorplanning and placement
  • Routing
  • Compaction
  • Others circuit extraction, timing verification
    and design rule checking

23
Physical Design Flow
B-tree based floorplanning system
A routing system
24
Floorplan Examples
  • Pentium
  • 4

Intel Pentium 4
PowerPC 604
A floorplan with interconnections
25
Mixed Macro/Cell Placement
26
Testing
  • Verify if a design was manufactured correctly
  • Applied to every shipped die or chip
  • 2nd most cost for IC production (next to
    fabrication)

What patterns to apply? (2 of pins, plus
countless states!!) How the pattern are applied?
(from testers? BIST? scan design?) How long does
it apply? (testers are expensive)
27
IC Design Considerations
  • Several conflicting considerations
  • Design Complexity large number of
    devices/transistors
  • Performance optimization requirements for high
    performance
  • Time-to-market about a 15 gain for early birds
  • Cost die area, packaging, testing, etc.
  • Others power, signal integrity (noise, etc),
    testability, reliability, manufacturability, etc.

28
Moores Law Driving Technology Advances
  • Logic capacity doubles per IC at a regular
    interval.
  • Moore Logic capacity doubles per IC every two
    years (1975).
  • D. House Computer performance doubles every 18
    months (1975)

4Gb
29
Technology Roadmap for Semiconductors
  • Source International Technology Roadmap for
    Semiconductors (ITRS), Nov. 2002.
    http//www.itrs.net/ntrs/publntrs.nsf.
  • Deep submicron technology node (feature size) lt
    0.25 ?m.
  • Nanometer Technology node lt 0.1 ?m.

30
ITRS 2005 Technology Roadmap
31
ITRS 2005 Technology Roadmap (contd)
32
Nanometer Design Challenges
  • In 2007, feature size ? 65 nm, ?P frequency ? 5
    GHz, die size ? 600 mm2, ? P transistor count per
    chip ? 500M, wiring level ? 9 layers, supply
    voltage ? 0.9 V, power consumption ? 170 W.
  • Chip complexity
  • Effective design and verification methodology?
    More efficient optimization algorithms?
    Time-to-market?
  • Power consumption
  • Power thermal issues?
  • Supply voltage
  • Signal integrity (noise, IR drop, etc)?
  • Feature size, dimension
  • Sub-wavelength lithography (impacts of process
    variation)? noise? wire coupling? reliability?
    manufacturability? 3D layout?
  • Frequency
  • Interconnect delay? electromagnetic field
    effects? Timing closure?

33
Design Complexity Increases Dramatically!!
  • Design issues
  • Design space exploration
  • More efficient optimization algorithms
  • Verification issues
  • State explosion problem
  • For modern designs, about 60-80 of the overall
    design time was spent on verification 3-to-1
    head count ratio between verification engineers
    and logic designers

PowerPC 604
Intel Pentium 4
34
Power/Thermal Is Another Big Problem!!
  • Power density increases exponentially!

Fred Pollack, New Microarchitecture Challenges
in the Coming Generations of CMOS Process
Technologies, 1999 Micro32 Conference keynote.
Courtesy Avi Mendelson, Intel.
35
Lithography Process
36
Subwavelength Lithography Gap
  • Printed feature size is smaller than the
    wavelength of the light shining through the mask

Numerical Technologies
37
Tale of Disappearing Silicon
38
Manufacturability Becomes a 1st-Order Effect!!
  • Manufacturability and reliability with 9-layer
    metal?

39
Design Productivity Crisis
Source DataQuest
  • Human factors may limit design more than
    technology.
  • Keys to solve the productivity crisis CAD (tool
    methodology), hierarchical design, abstraction,
    IP reuse, platform-based design, etc.

40
Hierarchical Design
  • Hierarchy something is composed of simpler
    things.
  • Design cannot be done in one step ? partition the
    design hierarchically.

hierarchical
flattened
41
Abstraction
  • Abstraction when looking at a certain level, you
    dont need to know all details of the lower
    levels.
  • Design domains
  • Behavioral functionality of components
  • Structural connectivity between components
  • Physical layout description
  • Each design domain has its own hierarchy.

system
module
gate
circuit
device
42
Three Design Views
43
Gajskis Y-Chart
44
Top-Down Structural Design
45
Design Styles
  • Specific design styles shall require specific CAD
    tools

46
SSI/SPLD Design Style
SSI Small Scaled Integrated circuits
SPLD simple programmable logic device
47
Full Custom Design Style
  • Designers can control the shape of all mask
    patterns.
  • Designers can specify the design up to the level
    of individual transistors.

48
Terminology
  • Cell a logic block used to build larger
    circuits.
  • Pin a wire (metal or polysilicon) to which
    another external wire can be connected.
  • Nets a collection of pins which must be
    electrically connected.
  • Netlist a list of all nets in a circuit.

49
Standard Cell Design Style
  • Select pre-designed cells (of same height) to
    implement logic
  • Characterize and store cells in library

50
Standard Cell Example
Courtesy Newton/Pister, UC-Berkeley
51
Gate Array Design Style
  • Prefabricates a transistor array
  • Needs wiring customization to implement logic

52
FPGA Design Style
  • Logic and interconnects are both prefabricated
  • Illustrated by a symmetric array-based FPGA

53
Array-Based FPGA Example
  • Lucent Technologies 15K ORCA FPGA, 1995
  • 0.5 um 3LM CMOS
  • 2.45 M Transistors
  • 1600 Flip-flops
  • 25K bit user RAM
  • 320 I/Os

Fujitsus non-volatile Dynamically Programmable
Gate Array (DPGA), 2002
54
FPGA Design Process
  • Illustrated by a symmetric array-based FPGA
  • No fabrication is needed

55
Comparisons of Design Styles
56
Comparisons of Design Styles
57
Design Style Trade-offs
58
The Structured ASIC Is Coming!!
  • A structured ASIC consists of predefined metal
    and via layers, as well as a few of them for
    customization.
  • The predefined layers support power distribution
    and local communications among the building
    blocks of the device.
  • Advantages fewer masks (lower cost) easier
    physical extraction and analysis using existing
    EDA tools.

A structured ASIC (M5 M6 can be customized)
Tech 0.18mm 0.15mm 0.13mm 0.09mm
Mask cost 160k 300k 600k 1500k
59
MOS Transistors
60
Complementary MOS (CMOS)
  • The most popular VLSI technology (vs. BiCMOS,
    nMOS).
  • CMOS uses both n-channel and p-channel
    transistors.
  • Advantages lower power dissipation, higher
    regularity, more reliable performance, higher
    noise margin, larger fanout, etc.
  • Each type of transistor must sit in a material of
    the complementary type (the reverse-biased diodes
    prevent unwanted current flow).

61
A CMOS Inverter
62
A CMOS Inverter Structure
63
A CMOS NAND Gate
64
A CMOS NOR Gate
65
Basic CMOS Logic Library
66
Construction of Compound Gates
  • Example
  • Step 1 (n-network) Invert F to derive n-network
  • Step 2 (n-network) Make connections of
    transistors
  • AND ? Series connection
  • OR ? Parallel connection

67
Construction of Compound Gates (contd)
  • Step 3 (p-network) Expand F to derive p-network
  • each input is inverted
  • Step 4 (p-network) Make connections of
    transistors (same as Step 2).
  • Step 5 Connect the n-network to GND (typically,
    0V) and the p-network to VDD (5V, 3.3V, or 2.5V,
    etc).

68
A Complex CMOS Gate
  • The functions realized by the n and p networks
    must be complementary, and one of the networks
    must conduct for every input combination.
  • Duality is not necessary.

0 1
69
CMOS Properties
  • There is always a path from one supply (VDD or
    GND) to the output.
  • There is never a path from one supply to the
    other. (This is the basis for the low power
    dissipation in CMOSvirtually no static power
    dissipation.)
  • There is a momentary drain of current (and thus
    power consumption) when the gate switches from
    one state to another.
  • Thus, CMOS circuits have dynamic power
    dissipation.
  • The amount of power depends on the switching
    frequency.

70
Stick Diagram
  • Intermediate representation between the
    transistor level and the mask (layout) level.
  • Gives topological information (identifies
    different layers and their relationship)
  • Assumes that wires have no width.
  • Possible to translate stick diagram automatically
    to layout with correct design rules.

71
Stick Diagram (cont'd)
  • When the same material (on the same layer) touch
    or cross, they are connected and belong to the
    same electrical node.
  • When polysilicon crosses N or P diffusion, an N
    or P transistor is formed.
  • Polysilicon is drawn on top of diffusion.
  • Diffusion must be drawn connecting the source and
    the drain.
  • Gate is automatically self-aligned during
    fabrication.
  • When a metal line needs to be connected to one of
    the other three conductors, a contact cut (via)
    is required.

72
CMOS Inverter Stick Diagrams
  • Basic layout
  • More area efficient layout

73
CMOS NAND/NOR Stick Diagrams
74
Design Rules
  • Layout rules are used for preparing the masks for
    fabrication.
  • Fabrication processes have inherent limitations
    in accuracy.
  • Design rules specify geometry of masks to
    optimize yield and reliability (trade-offs area,
    yield, reliability).
  • Three major rules
  • Wire width Minimum dimension associated with a
    given feature.
  • Wire separation Allowable separation (spacing).
  • Contact overlap rules.
  • Two major approaches
  • Micron rules stated at micron resolution.
  • ? rules simplified micron rules with limited
    scaling attributes.
  • ? may be viewed as the size of minimum feature.
  • Design rules represents a tolerance which insures
    very high probability of correct fabrication (not
    a hard boundary between correct and incorrect
    fabrication).
  • Design rules are determined by experience.

75
Example SCMOS Design Rules
76
MOSIS Layout Design Rules
  • MOSIS design rules (SCMOS rules) are available at
    http//www.mosis.org.
  • 3 basic design rules Wire width, wire
    separation, contact rule.
  • MOSIS design rule examples
Write a Comment
User Comments (0)
About PowerShow.com