PicoRadio Protocols, Architectures, and Platforms Picoradio, protocol, intercom, and reconfigurable - PowerPoint PPT Presentation

1 / 32
About This Presentation
Title:

PicoRadio Protocols, Architectures, and Platforms Picoradio, protocol, intercom, and reconfigurable

Description:

Exercises the complete design flow from high-level specification. A prototyping environment ... 1 ARM-8. 8 SRAMs & 8 AGPs. 2 MACs. 2 ALUs. 2 In-Ports and 2 Out ... – PowerPoint PPT presentation

Number of Views:92
Avg rating:3.0/5.0
Slides: 33
Provided by: kaat9
Category:

less

Transcript and Presenter's Notes

Title: PicoRadio Protocols, Architectures, and Platforms Picoradio, protocol, intercom, and reconfigurable


1
PicoRadioProtocols, Architectures, and
PlatformsPicoradio, protocol, intercom, and
reconfigurable working groupsBWRC
RetreatBerkeley - June 1999
2
PicoRadio Goals
  • Develop meso-scale radios for ubiquitous
    wireless data acquisition that minimize
    power/energy dissipation
  • Minimize energy (lt10 pJ/(correct) bit) for
    energy-limited source
  • Minimize power (lt 1 mW) for power-limited source
    (e.g. based on energy scavenging)
  • By using the following strategies
  • self-configuring networks
  • fluid trade-off between communication and
    computation
  • aggressive low-energy architectures and circuits

3
PicoNode for Sensor Networks
  • Single-chip node provides all communication,
    geolocation and computation functions, necessary
    for an adaptive distributed sensor network
  • Main Premises
  • integration leads to lowest cost, size, and
    energy
  • integration of communication and computation
    enables fluid optimization of communication
    versus compression, depending upon system
    requirements and environment

4
Milestones
  • Year 1
  • Prototype test board to demonstrate distributed
    communications/computations
  • Debugging and monitoring software environment
  • Year 2
  • Prototype PicoNode (using board-level solution)
  • API into wrieless network stack to enable dynamic
    variation of transmission parameters and
    functions
  • Year 3
  • Integrated PicoNode
  • Software environment for remote programming

5
Specifications
  • Reactive and/or self-triggered bursty
    transmissions
  • Data Sources large number of sensor nodes
  • Data Sinks limited set of monitors and/or data
    miners
  • Limited Source Data Rate 100 to 1 Kbit/sec
  • System size 1m to 100m, 100 to 1000 nodes
  • 5 Ghz band

6
Identified Application Areas
  • Smart tagging and identification
  • Environment monitoring and control
  • Security
  • Smart periphery
  • Instantaneous networking
  • class-room, audience participation

7
Energy/Power is the central focus!
  • - foremost low-energy wireless system design
  • adaptive self-configuring wireless
    systemsDynamic trade-off between computation and
    communication is the key to system-level energy
    optimization
  • energy minimization through all the abstraction
    layersapplication, network, media access,
    physical
  • - implementation methodologies to enable the
    above
  • - radio architectures - what needs to be adaptive
    or what is fixed?
  • - circuit implementation - how the get the best
    buck for the pJ
  • - approaches to energy-scavenging
  • - design methodology
  • - self-configuring and adaptive protocols,
    communication channel design
  • - automating the design generation process

8
Research Thrusts
  • Main focus on network and protocol processing,
    low-energy design, and implementation platforms
  • Themes
  • Source coding for sensor networks
  • Wireless networks , protocols and associated
    design methodology
  • Embedded software and RTOS to support dynamic
    system reconfiguration
  • Prototyping
  • Low-energy implementation platforms and fabrics
  • Alternative ways of communication and transmission

9
Source Coding for Energy Reduction
  • Correlation between data sources results in
    significant redundancy
  • Coordination between sources results in extra BW
  • If statistical correlation structure is known,
    compression without collaboration is possible
    (theoretical result)
  • We propose practical constructive framework
    without collaboration proposed that achieves
    performance of distributed source-coding with
    collaboration DISCUS Distributed Source Coding
    Using Syndromes (Ramachandran)

10
Piconode Foundations
1 megawattfor 100Kbps!
Assumes R-4 loss due to ground wave (_at_ 1 GHz)
90dBm
90dBm
100 Kbps
50dBm
50dBm
10dBm
Transmit Power
10dBm
Transceiver Power
-30dBm
-30dBm
-70dBm
-70dBm
1m
10m
100m
1Km
10Km
Distance
11
Energy Minimization at the Protocol Level
  • Dominant factor in energy equation determined by
    data rate and distance requirements
  • for cells smaller than 10 m transmitting 1
    Kbits/sec communication energy can be ignored.
    Energy-efficient computation is key.
  • This is not the case if the distance is increased
    to 100 m (size of a home). Minimization of
    communication energy becomes a prime driver.
    Partitioning of the link and the use of repeaters
    is beneficial (similar to interconnect on chips -
    but much more outspoken)
  • Finding the right optimum is even-harder in
    self-configuring systems
  • precise location and communication requirements
    of subscribers not known in advance and vary over
    time
  • no (or little) background infrastructure or
    coordination

12
Multi-Hop Networks
  • Protocols for ad hoc wireless networks
  • Destination-Sequenced Distance Vector
  • Dynamic Source Routing
  • Temporally-Ordered Routing Algorithm
  • Ad Hoc On-Demand Distance Vector
  • Wireless LAN

13
Current focus Simulation and Modeling
Environment
  • Evaluation of network modeling toolsNS,
    UCB/LBNL/VINT Network Simulator, Optnet, Glomo
  • Investigation of routing protocols that minimize
    Energy Cost Function
  • Definition of Energy Metric
  • Cost F(cost per hop, of hops, ???

14
Mac-Level Energy Minimization
  • synchronous access control probably most
    energy-efficient, but hard to implement in
    environment with large number of transceivers
    incurs protocol communication overhead
  • non-coordinated asynchronous access incurs some
    inefficiency due to access conflicts, but has
    advantage of reduced coordination overhead and
    potentially can evolve to energy-efficient
    optimum simpler and more robust

15
Protocol Design Methodologies
  • Specification
  • formally describing what the protocol is supposed
    to do
  • Verification
  • is the protocol logically consistent?
  • Performance Estimation
  • is the protocol efficient?
  • Implementation
  • building a system that implements the
    specification

Complicated by adoption of dynamically adapting
protocols
16
Refinement-based Protocol Design
  • Synthesize protocols
  • functionally correct by construction
  • satisfying performance requirements
  • low power
  • dynamically adapting to changing conditions
  • reusable
  • . by exploring the design space and evaluating
    tradeoffs like
  • energy consumption vs error rate
  • bandwidth vs. delay
  • computation vs. communication

17
Refinement-based Design Flow
System Spec
Input language (ECL,SDL)
Co-simulation Formal Verification
Model of Computation (CFSMs)
Refinement
Stateflow/Simulink
Implementation Hw (VHDL) / Sw (C)
18
PicoNode Prototyping Digital Intercom
Basestation
Implementation Platform
Embedded StrongARM Processor
Programmable Logic
1.6 Mbit/sec FH Radio (Proxim)
Mobiles
Up to 20 users per cell _at_ 64 kbit/sec per
link TDMA selected as MAC protocol
Towards single chip
Exercises the complete design flow from
high-level specification
19
A prototyping environmentDigital Intercom
  • Intended as wireless testbed and prototyping
    environment for picoradio
  • Initial implementation based on Infopad chassis
    and off-the-shelf hardware
  • Designed to allow for interchangeable radio
    modules (DECT, Frequency hopping, CDMA)
  • Software support includes RTOS and wireless
    protocol stack

20
Architecture Level
  • Energy-efficiency dictates custom implementation
    of often recurring functions
  • Adaptivity and configurability requires
    programmability for protocol and communication
    processing
  • reconfigurable platforms enable trade-off between
    efficiency and flexibility
  • Pleiades established reconfigurable approach for
    data-flow style computation
  • novel approaches being examined in the protocol
    and control space

21
Wireless Algorithms Beat Moores Law
Log Complexity
1982 1992 2002
2012
Time
22
PicoNode for Sensor Networks
Heterogeneous Implementation Architecture
allows for Trade-off between Flexibility and
Efficiency
23
Architectural Choices
Flexibility
1/Efficiency
24
Multi-granularity Reconfigurable Architecture
The Berkeley Pleiades Architecture
Configuration Bus
  • Computational kernels are spawned to satellite
    processors
  • Control processor supports RTOS and
    reconfiguration
  • Order(s) of magnitude energy-reduction over
    traditional programmable architectures

25
Maia Reconfigurable Baseband Processor for
Wireless
  • 0.25um tech 4.5mm x 6mm
  • 1.2 Million transistors
  • 40 MHz at 1V
  • 1 mW VCELP voice coder
  • Hardware
  • 1 ARM-8
  • 8 SRAMs 8 AGPs
  • 2 MACs
  • 2 ALUs
  • 2 In-Ports and 2 Out-Ports
  • 14x8 FPGA

26
Low-Energy Embedded FPGA
  • Test chip
  • 8x8 CLB array
  • 5 in - 3 out CLB
  • 3-level interconnect hierarchy
  • 4 mm2 in 0.25 mm ST CMOS
  • 0.8 and 1.5 V supply
  • Simulation Results
  • 125 MHz Toggle Frequency
  • 50 MHz 8-bit adder
  • energy 70 times lower than comparable Xilinx
  • Parameterized module generator available

27
Architecture Comparison
LMS Correlator at 1.67 MSymbols Data
Rate Complexity 300 Mmult/sec and 357 Macc/sec
16 Mmacs/mW!
Note TMS implementation requires 36 parallel
processors to meet data rate - validity
questionable
28
Software Methodology Flow
29
Implementation Fabrics for Protocols
Intercom TDMA MAC
30
Intercom TDMA MACImplementation alternatives
  • ASIC 1V, 0.25 mm CMOS process
  • FPGA 1.5 V 0.25 mm CMOS low-energy FPGA
  • ARM8 1 V 25 MHz processor n 13,000
  • Ratio 1 - 8 - gtgt 400

31
Low-energy Circuit Design
  • Dropping voltage down to minimum possible levels
    (between 100 and 500 mV)
  • Study potential of sub-threshold operation, and
    activity-based threshold control
  • Study impact of burst-mode operation on circuit
    design e.g. potential of dynamic voltage
    scaling, current-mode logic, and adiabatic design
  • Study impact of performance variations on circuit
    architecture self-timing or mesochronous designs

32
Performance/energy trade-off
  • Possible control parameters supply voltage,
    threshold voltage, and current
  • Current-control attractive because of minimum
    overhead in circuitry or technology

33
CMOS versus CML
Logic Depth 4
34
Dependence upon process variations
35
The Electro-Mechanical Aspects
  • How to build meso-scale (millimeter-size)
    radios?
  • enabling energy-scavenging
  • how to deal with the antenna issue?
  • packaging
  • Prototyping experiments in ME 221 (F99)

36
Means of energy-scavenging
  • Motion (I.e. wrist watch, IDs, pens)
  • Light (sensors)
  • Pressure
  • Recycle energy from cheap down-link to expensive
    up-link
  • Of course, batteries are always an option if one
    can keep the energy dissipation of the component
    ignorable!

37
Summary
  • PicoRadio Driver in early stages of conception
  • System specification and killer apps are being
    defined
  • Some substantial progress on technology base
Write a Comment
User Comments (0)
About PowerShow.com