VHDL Project : Design of a ROBOT - PowerPoint PPT Presentation

1 / 13
About This Presentation
Title:

VHDL Project : Design of a ROBOT

Description:

VHDL Project : Design of a ROBOT-Anuja Kumar Specifications and Assumptions: 1) 5 degrees of movement Base movement (A) Shoulder movement (B) Arm movement (C ... – PowerPoint PPT presentation

Number of Views:110
Avg rating:3.0/5.0
Slides: 14
Provided by: uncc165
Learn more at: https://coefs.uncc.edu
Category:
Tags: robot | vhdl | design | project | robot

less

Transcript and Presenter's Notes

Title: VHDL Project : Design of a ROBOT


1
VHDL Project Design of a ROBOT
  • -Anuja Kumar

2
Specifications and Assumptions
  • 1) 5 degrees of movement
  • Base movement (A)
  • Shoulder movement (B)
  • Arm movement (C)
  • Wrist Movement (D)
  • Claw movement (E)
  • 2) Five stations- L, S1, S2, S3 and S4 that can
    load and unload the robot arm and have priorities
    from highest to lowest respectively.
  • 3) Load and Unload at same station is not done.
  • 4) Loading operation at any station consequential
    of an unloading operation requested by a lower
    priority station can be interrupted by an
    unloading operation request by a higher priority
    station.
  • 5) Unloading operations cannot be interrupted.

3
Inputs
Sensors- decides unloading station-requesting
station
SENSORS UNLOAD STATION and DEST
00001 L
00010 S1
00100 S2
01000 S3
10000 S4
Source-decides loading station
SOURCE LOAD STATION
000 L
001 S1
010 S2
011 S3
100 S4
4
Flowchart
5
SIMPLISTIC STATE MACHINE
6
Test Bench
  • Load at S4 and unload requests from S3,S2,S1,L
  • Load at S3 and unload requests from S4,S2,S1,L
  • Load at S2 and unload requests from S4,S3,S1,L
  • Load at S1 and unload requests from S4,S3,S2,L
  • Load at L and unload requests from S4,S3,S2,S1
  • Reset between each of the above scenarios
  • Clock toggling every 50 ns

7
Simulation ResultsLoad S4-Unload S3
8
Simulation results Load S3-unload S4
9
Simulation results Load S2-Unload S1
10
Simulation results Load S1-Unload S2
11
Simulation results Load L-Unload S3
12
Simulation results Interrupt
13
Thank you..
Write a Comment
User Comments (0)
About PowerShow.com