The ESA MUSIC Project MultiUser - PowerPoint PPT Presentation

1 / 58
About This Presentation
Title:

The ESA MUSIC Project MultiUser

Description:

MUSIC. Receiver. Serial Port. STM. Proteo Board STM/CPR EC ... MUSIC. Receiver. Serial Port. AWG. DAQ Board. Breadboard Control and Monitoring via LabVIEW ... – PowerPoint PPT presentation

Number of Views:32
Avg rating:3.0/5.0
Slides: 59
Provided by: mus848
Category:
Tags: esa | music | multiuser | project

less

Transcript and Presenter's Notes

Title: The ESA MUSIC Project MultiUser


1
The ESA MUSIC Project Multi-User Interference
Cancellation - ESA/ESTEC Contract 13095/98/NL/SB
  • Advanced Mobile Satellite Systems Technologies
    presentation days
  • ESA./ESTEC 14-15 November 2000

2
The MUSIC Project Mission
  • The MUSIC experiment
  • (Multi-USer Interference Cancellation receiver)
  • is a research project supported by the European
    Space Agency
  • which is aimed at the validation of an
  • Adaptive Interference Mitigating Detector
  • suited for use in a CDMA-based mobile satellite
    network

3
The MUSIC Project Mission
  • The MUSIC experiment
  • (Multi-USer Interference Cancellation receiver)
  • is a research project supported by the European
    Space Agency
  • which is aimed at the validation of an
  • Adaptive Interference Mitigating Detector
  • suited for use in a CDMA-based mobile satellite
    network

4
MUSIC Breadboard Setup
5
Presentation Outline
  • Overall System Description and Architectural
    Issues(M. Luise, CPR)
  • Design of DSP HW and Analog TX/RX ends(G.
    Colleoni, STM)
  • Breadboard HW partitioning and ASIC Design(L.
    Fanucci, CPR)

6
Technical Specs Tables MUSIC TX 1/2
7
Technical Specs Tables MUSIC TX 2/2
As output from WP200
8
Technical Specs Tables MUSIC RX 1/2
9
Technical Specs Tables MUSIC RX 2/2
lt
lt
As output from WP200
10
Breadboard Control and Monitoring via LabVIEW
11
Breadboard Control and Monitoring via LabVIEW
12
A Corner of the MUSIC Lab in Pisa
13
The MUSIC TX SW Setup
14
The MUSIC TX SW Setup
15
SW CDMA Signal Generation ...
16
AWG Loading and Run
PC Slot
AWG
17
Sat Channel Emulation Noise Generation
MUSIC Testing Overall Set-up
LabView Virtual Instrument
NOISECOM UFX 7107
18
The MUSIC TX/RX Analog IF front-end
More in the presentation to follow by G.
Colleoni, STMicroelectronics
19
MUSIC RXDirect IF sampling
Spectrum after A/D conversion
Digital downconversion to baseband
20
The PROTEO Signal Processing Board
21
The PROTEO Signal Processing Board
22
MUSIC RX Architecture
More on HW partitioning to follow by L. Fanucci,
CPR-Team
23
The Digital Multi-Rate Front-End 1/2
Downconverting to Baseband via a DCO
fIFD
BB
24
The Digital Multi-Rate Front-End 2/2
Cascaded Integrator-Comb (CIC) Filter
A low-complexity solution to perform low-pass
filtering and decimation with no noise spectrum
aliasing
25
Ancillary Receiver Functions
SYNCHRONIZATION Timing Spreading code
acquisition Chip clock tracking Carrier
Carrier frequency tracking Carrier phase
recovery
DETECTION Signal Interpolation Signal sense
MONITORING AND MEASUREMENT Signal-to-noise plus
interference ratio Bit error rate Chip Timing
Carrier Loop Lock
26
Code Timing Acquisition Unit (CTAU)
Correlation Time L symbol intervals
27
Performance of CTAU with Different Kind of Pilots
28
Linear Interpolation Unit (LIU)
Td Tc/4
lminteger delay, mmfractional delay
29
Chip Clock Tracking Unit (CCTU)
30
Chip-timing Error Detector (CED)
Non-coherent, non decision-aided processing
Lock detector not shown
31
Automatic Frequency Control Unit (AFCU)
Frequency Error Detector (FED)
32
Carrier Phase Recovery Unit (CPRU)(embedded with
EC-BAID)
Decision-Aided at EC-BAID output !
33
The MUSIC core The EC-BAID 1/2
E
EC-BAID Extended Complex-valued Blind Adaptive
Interference-mitigating Detector is a baseband
single-channel digital detector to counteract
multiple-access interference
F. Giannetti. R. De Gaudenzi, M. Luise "Design
of a Low-Complexity Adaptive Interference-Rejectio
n Detector for DS/SS Receivers in CDMA Radio
Networks", IEEE Trans. Commun, vol. COM-46 n. 1,
Jan 1998.
34
The MUSIC core The EC-BAID 2/2
The C-BAID is a linear adaptive detector with
complex-valued coefficients h1(m) , m0,1,...,L-1
CMF outputs array
35
Features of the EC-BAID
  • Blind no need for training sequences to aid
    algorithm convergence, nor knowledge of
    interferers' parameters
  • Robust to asynchronous MAI even for large
    interferer-to-useful channel power ratios
  • Insensitive to the unknown phase of the useful
    signal and compatible with conventional QPSK
    phase estimators
  • Robust to residual carrier frequency errors with
    respect toconventional DA-MMSE
  • Insensitive to carrier frequency offsets on the
    interfering signals
  • Suited to low-power ASIC implementation on a
    low-cost user terminal.

J. Romero-Garcia, F. Giannetti. R. De Gaudenzi,
M. Luise A Frequency Error Resistant Blind CDMA
Detector",IEEE Trans. Commun., July 2000
36
Interference-Mitigation Capability of EC-BAID
Spreading FactorL64 WHE-PN Codes 1 User 18
Interferers6 dB STRONGER than the useful channel
each. (C/I)sc-6 dB
37
The EC-BAID ASIC with Embedded CPRU 1/2
38
The EC-BAID ASIC with Embedded CPRU 2/2
0.25 mm Technology
More on ASIC Design to follow by L. Fanucci,
CPR-Team
R. De Gaudenzi, E. Letta, L. Fanucci, F.
Giannetti, M. Luise "VLSI Implementation of a
CDMA Blind Interference-Mitigating Detector", to
appear on the IEEE Jou. Sel. Areas Commun
39
Some Results Bit-True Simulations 1/2
40
Some Results Bit-True Simulations 2/2
41
70MHz IF CDMA Signal Noise
Chip Rate 2.048 Mchip/s L64, Bit-rate64
kb/s DataPilot Channel
42
BB Filtered/Interpolated Digital CDMA Signal
43
The Double-PROTEO Config with EC-BAID on FPGA
44
Current Status and Further Development Steps
  • EC-BAID FPGA Implementation finalized
  • ASIC layout finalized
  • Final Receiver BER Testing Started
  • ASIC foundry run scheduled
  • ASIC Integration and Testing planned

Soon to be ended...
45
CIC Equalization
46
Signal Decimation with the CIC Filter 1/2
rdecimation factor
47
Signal Decimation with the CIC Filter 2/2
Thats How the CIC Works
48
AWG Aperture Equalizer
49
TX/RX Filtering
IF Filter
Mixer
fIF
fIFD
AWG
SignalMAI
fLO
To Noise Generation
Combining
more in the detailed presentation
Local Oscillator
50
HW Partitioning of RX Functions
51
Bit-True Design Sample (Hierarchical Diagram)
52
CCTU Lock Detector
Low-pass Filtering
Threshold with Hysteresis
Nonlinearity
53
The EC-BAID Long-term BER Dirft
54
Adaptive EC-BAID with Leakage
Standard EC-BAID
55
Leak Factor Optimization
56
Optimization of the EC-BAID Window Length
Optimum Length 2 symbol intervals (0.510.5)
57
EC-BAID Functional Block Diagram 1/2
58
EC-BAID Functional Block Diagram 2/2
Write a Comment
User Comments (0)
About PowerShow.com