Mod - PowerPoint PPT Presentation

About This Presentation
Title:

Mod

Description:

Mod lisation VHDL-AMS haut niveau de l'activit en courant des m moires en vue ... Mod lisation VHDL-AMS haut niveau de l'activit en courant des m moires en vue ... – PowerPoint PPT presentation

Number of Views:49
Avg rating:3.0/5.0
Slides: 23
Provided by: richardp
Category:
Tags: ajuster | mod

less

Transcript and Presenter's Notes

Title: Mod


1
Modélisation VHDL-AMS haut niveau de lactivité
en courant des mémoires en vue de l'optimisation
de la compatibilité électromagnétique
  • Richard PERDRIAU/
  • Mohamed RAMDANI
  • Jean-Luc LEVANT
  • Anne-Marie TRULLEMANS
  • École Supérieure dÉlectronique de lOuest -
    Angers
  • DICE Université Catholique de Louvain
    Louvain-la-Neuve
  • ATMEL - Nantes

2
Présentation
  1. Objectif
  2. Pourquoi modéliser lactivité interne dun µC ?
  3. Pourquoi VHDL-AMS ?
  4. Méthodologie globale
  5. Principe
  6. Validation
  7. Simulation de la SRAM
  8. Extraction
  9. Modélisation
  10. Résultats
  11. Conclusion

3
Objectif
  • Caractérisation CEM dun CI modèle ICEM
  • Eléments passifs (réseau dalimentation)
  • Générateur de courant interne

4
Objectif
  • Caractérisation CEM dun CI modèle ICEM
  • Eléments passifs
  • Ne dépendent pas de lactivité interne (sauf
    capacités dynamiques MOS dans Cb)
  • Extraits par mesures (publications avec J. L.
    Levant, M. Ramdani)
  • Générateur de courant interne
  • Dépend de lactivité
  • Pire cas difficile à modéliser mais très utile
  • La simulation doit être rapide mais pas
    nécessairement précise (20 suffit)
  • -gt extraction du générateur équivalent
  • Cas concret microcontrôleur 8 bits (avec SRAM)

5
Objectif
  • Comment obtenir cette activité ?
  • -gt par mesures
  • Une fois le circuit fondu moins utile
  • Comment trouver le pire cas ?
  • -gt par simulation
  • Avant la fonderie beaucoup plus intéressant
  • Niveau structurel (transistors)
  • Outils de type SPICE
  • Très lente ( 1000 heures)
  • Niveau comportemental
  • Moins précise mais beaucoup plus rapide ( 10
    minutes)
  • Utilisation dun langage de description

6
Objectif
  • Quel langage ?
  • VHDL-AMS
  • Standard (IEEE 1076.1)
  • Compatibilité ascendante avec les modèles
    standard VITAL (VHDL Initiative Towards ASIC
    Libraries) pour les mémoires
  • Compatibilité ascendante avec les modèles
    standard VHDL du cœur
  • Permet dajuster les modèles à partir des
    simulations structurelles
  • Inclus dans la proposition de norme ICEM
  • -gt méthodologie de simulation pour la
    modélisation VHDL-AMS

7
Méthodologie envisagée
  • Environnement de simulation
  • Microcontrôleur
  • Cœur 8051 ATMEL ( 25000 portes)
  • EEPROM programme 32 Ko ( 150000 portes)
  • SRAM données 1,2 Ko ( 18000 portes)
  • Outils
  • ADVance-MS Mach (Mentor Graphics) v2.0
  • ADVance-MS compilateur/simulateur VHDL-AMS
  • Mach simulateur structurel rapide (1012x plus
    rapide quEldo)

8
Méthodologie 3 étapes
  • Extraction du courant cœur seul
  • Utilisation de modèles numériques de mémoires
    couplés à une netlist cœur au niveau transistor

9
Méthodologie 3 étapes
  • Extraction du courant cœur seul
  • Courant consommé uniquement par le cœur
  • Pourra inclure les éléments parasites RC après
    placement/routage
  • Utilisation de modèles VITAL standard
  • Convertisseurs A/N et N/A décrits en VHDL-AMS
  • Possibilité de faire tourner du code machine
    dépendances logiciel lt-gt consommation
  • Comparaison avec les mesures en mode RESET (pas
    de mémoires impliquées)

10
Méthodologie 3 étapes
  • Extraction du courant cœur/mémoires (1)
  • Utilisation de modèles VHDL-AMS des mémoires
    couplés au cœur au niveau transistor

11
Méthodologie 3 étapes
  • Extraction du courant cœur et mémoires (1)
  • Première étape modélisation comportementale de
    la consommation de courant des mémoires
  • Sera évoquée ultérieurement
  • Accélère la simulation dun facteur 1000 ou plus
  • Remarque faible influence de lEEPROM sur le
    courant
  • Validée par simulation
  • Permet de négliger sa consommation
  • Deuxième étape couplage avec le cœur
  • Permet les comparaisons avec les mesures réelles
    en mode RUN

12
Méthodologie 3 étapes
  • Extraction du courant cœur/mémoires (2)
  • Modèles VHDL/AMS du cœur et des mémoires

13
Méthodologie 3 étapes
  • Extraction du courant cœur et mémoires (2)
  • Le plus difficile modélisation comportementale
    du cœur
  • Dépend du logiciel
  • Etude de faisabilité encore à mener

14
Validation de la méthodologie
  • Etude du cœur en mode RESET

lt- Simulation Mesures
  • Valeurs crête semblables mais temps de montée
    différents
  • Méconnaissance de la capacité de découplage
    interne (résonance)
  • Eléments RC parasites non inclus (arbre
    dhorloge)

15
Simulation de la SRAM
  • Caractéristiques de la SRAM
  • 1280 octets ( 18000 portes)
  • Technologie 0,35 µm
  • 4 blocs de 80 rangées et 4 colonnes chacun
  • Décodage dadresses sur 7 bits
  • 2 décodeurs 2 bits (Y et Z) simples
  • 1 décodeur 3 bits X faisant partie du chemin
    critique

16
Simulation de la SRAM
  • Principe de la simulation
  • Netlist au niveau transistor
  • Testbench écrit en VHDL

17
Simulation de la SRAM
  • Extraction par simulation structurelle
  • Deux impulsions par cycle
  • Décodeurs dadresses Y et Z
  • Amplitude distance de Hamming entre les
    adresses
  • Amplitude/temps de montée temps de montée des
    signaux de contrôle
  • Décodeur dadresses X
  • Plus complexe plusieurs étages
  • Cellules mémoire
  • Forme fixe (en écriture)
  • Amplitude interne cœur
  • Ne présuppose pas de lamplitude externe

Cellules mémoire
Décodeurs dadresses
18
Simulation de la SRAM
  • Modèle VHDL-AMS
  • Modèle événementiel
  • Prise en compte séparée des décodeurs et des
    cellules mémoire
  • Formes donde de type PWL
  • Rapide

ENTITY RAMGenerator IS GENERIC (Tr real)
PORT (ADD IN std_logic_vector()
DATA IN std_logic_vector() ME,
WEN IN std_logic TERMINAL Vdd, Vss
electrical) END ENTITY RAMGenerator PROCESS --
détermination des coefficients PWL pour les
décodeurs (d) END PROCESS PROCESS --
détermination des coefficients PWL pour les
cellules mémoire (m) END PROCESS --
Interpolation linéaire Ib Istartd
deltaId(now-Tstartd)/ (Tendd-Tstartd)
Istartm deltaIm (now-Tstartm)/(Tendm-Tsta
rtm) BREAK ON Tstartd, Tstartm
19
Simulation de la SRAM
  • Résultats de simulation
  • Rapport des temps de simulation environ 1000/1
  • Bonne précision sur les temps de montée
  • Prise en compte des décodeurs dadresses

20
Simulation de la SRAM
  • Courant externe
  • Méthode
  • Modèle VHDL-AMS du courant interne
  • éléments passifs extraits par mesure
  • Filtrage du bruit
  • Corrélé par la mesure
  • Comparaison entre accès Flash (code) uniquement
    et accès Flash SRAM
  • Différence de consommation avec accès SRAM 2
    mA

21
Conclusion
  • Proposition dune méthodologie pour lextraction
    du courant dynamique
  • Du niveau transistor au modèle comportemental
  • Utilisation de VHDL-AMS
  • Accélération de la simulation (facteur gt 1000)
  • Inclusion dans le modèle ICEM
  • Avenir
  • Amélioration des modèles comportementaux SRAM
  • Meilleure modélisation du décodeur X
  • Prise en compte du mode lecture
  • Inclusion des parasites RC dans les simulations
    cœur
  • Proposition dun modèle comportemental  simple 
    du cœur
  • Normalisation des modèles comportementaux en
    courant ICEM-IP

22
Pour en savoir plus
  • Proposition de norme ICEM IEC 62014-3
  • Voir site Web UTE ou INSA Toulouse
  • Proceedings de la conférence EMCCompo 2002
  • http//www.insa-tlse.fr/emccompo/program.htm
  • Conférence EMCCompo 2004 à lESEO (31/03 et
    01/04)
  • http//www.emccompo.org
  • http//emccompo.eseo.fr
Write a Comment
User Comments (0)
About PowerShow.com