Reconfigurable Instruction Set Processors - PowerPoint PPT Presentation

1 / 21
About This Presentation
Title:

Reconfigurable Instruction Set Processors

Description:

Properties of MPEG-4 applications. Every object has its own decoder ... For MPEG-4 kind, specialization to different application at runtime needed. ESAT/ACCA ... – PowerPoint PPT presentation

Number of Views:68
Avg rating:3.0/5.0
Slides: 22
Provided by: FranciscoB150
Category:

less

Transcript and Presenter's Notes

Title: Reconfigurable Instruction Set Processors


1
Reconfigurable Instruction Set Processors
  • Francisco Barat
  • Murali Jayapala
  • Rudy Lauwereins

2
Outline
  • Motivation
  • Reconfigurable Logic
  • RISP
  • Advantages
  • Status
  • past work
  • future work
  • Conclusion

3
Motivation Typical MPEG-4 application
4
iHome applications
  • Properties of MPEG-4 applications
  • Every object has its own decoder algorithm
  • Scalable decoders
  • Variable number of objects
  • Interaction with user
  • Decoder algorithm downloaded together with data
    SAOL/SASL, Java
  • Decoder lasts longer than the standards future
    proof
  • Conclusion
  • much run-time flexibility is needed
  • this leads to extensive use of Instruction Set
    Processors
  • and dynamically reconfigurable hardware

5
Motivation Processors
  • Increase in Silicon Area
  • Design Complexity - High
  • Large design time
  • Large design cost
  • Flexibility vs Specialization
  • Power hungry
  • So there is a need for new
  • Architectures
  • Design tools (synthesis, compilers)

6
Motivation RISP
  • General purpose processors
  • Very generic Instruction Set
  • Application specific processors
  • Very specialized Instruction Set
  • For MPEG-4 kind, specialization to different
    application at runtime needed

7
Reconfigurable Logic
  • Large array of basic elements
  • Dense interconnect
  • Both reconfigurable

8
Reconfigurable Logic
9
Reconfigurable Logic
10
Reconfigurable Processor
Microprocessor
Reconfigurable Logic
Reconfigurable Processor
11
Processor coupling
Processor
Coprocessor
RFU
Memory
Bridge
Attached Processor
12
RISP Block Diagram
Internal Memory
Processor core
Reconfigurable logic
Reconfigurable logic
13
Advantages RISP
  • The Runtime flexibility and specialization could
    be obtained
  • Design Complexity reduced
  • large area for reconfigurable logic
  • design of reconfigurable logic simple -
    replication basic blocks
  • less design time and cost
  • From market point of view

14
Crucial
  • Existence of tools to aid the design
  • Profilers, analyzers
  • compilers
  • simulators
  • Most of the tools have to be developed to show
    the advantages in numbers

15
Work so far
  • Template architecture description
  • The basic program flow
  • configuration memory
  • Reconfigurable logic
  • from template architecture to an Instance
  • Tools
  • Compiler
  • Instruction creation
  • Instruction selection
  • Initial work on Instruction set simulator

16
Basic program flow
Fetch
Decode
Configuration Controller
Issue
Integer
Floating Point
Branch
Load/ Store
Reconfigurable Unit
Writeback
17
Configuration Memory
  • Configuration memory depends on
  • granularity of basic elements
  • density of configurable interconnect
  • of reconfigurable logic
  • Largely similar to the conventional program
    memory
  • Configuration memory ? Instruction memory
  • Configuration coding ? Instruction coding

18
Configuration memory (contd)
. . . .
  • One large instruction for a superscalar
    processor
  • One VLIW word composed of instructions for the
  • parallel units
  • One Horizontal micro code
  • Configuration memory ? Instruction memory

19
Configuration memory (contd)
Encode
Decode
Configuration coding? Instruction coding
20
Future work
  • Template architecture description
  • configuration memory hierarchy
  • Reconfigurable logic
  • granularity
  • Interconnect
  • Creation on function units on the fly
  • Tools
  • Architecture description language
  • More on Compiler and Instruction set simulators
  • Design flow
  • Instantiation
  • hardware synthesis
  • Program compilation

21
Conclusion
  • Emerging multimedia applications need
  • Runtime flexibility and specialization
  • For the processors need
  • low design cost and complexity
  • Reconfigurable logic coupled with
    Microprocessors forming a RISP could be a good
    solution
Write a Comment
User Comments (0)
About PowerShow.com